aboutsummaryrefslogtreecommitdiffstats
path: root/dot_product/student_files_2015[2]/student_files_2015/prj1/quartus_proj_DE0/ise_proj.sta.rpt
blob: 4726b7abe9a8a3537871aaa119b77c845b5e945c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
969
970
971
972
973
974
975
976
977
978
979
980
981
982
983
984
985
986
987
988
989
990
991
992
993
994
995
996
997
998
999
1000
1001
1002
1003
1004
1005
1006
1007
1008
1009
1010
1011
1012
1013
1014
1015
1016
1017
1018
1019
1020
1021
1022
1023
1024
1025
1026
1027
1028
1029
1030
1031
1032
1033
1034
1035
1036
1037
1038
1039
1040
1041
1042
1043
1044
1045
1046
1047
1048
1049
1050
1051
1052
1053
1054
1055
1056
1057
1058
1059
1060
1061
1062
1063
1064
1065
1066
1067
1068
1069
1070
1071
1072
1073
1074
1075
1076
1077
1078
1079
1080
1081
1082
1083
1084
1085
1086
1087
1088
1089
1090
1091
1092
1093
1094
1095
1096
1097
1098
1099
1100
1101
1102
1103
1104
1105
1106
1107
1108
1109
1110
1111
1112
1113
1114
1115
1116
1117
1118
1119
1120
1121
1122
1123
1124
1125
1126
1127
1128
1129
1130
1131
1132
1133
1134
1135
1136
1137
1138
1139
1140
1141
1142
1143
1144
1145
1146
1147
1148
1149
1150
1151
1152
1153
1154
1155
1156
1157
1158
1159
1160
1161
1162
1163
1164
1165
1166
1167
1168
1169
1170
1171
1172
1173
1174
1175
1176
1177
1178
1179
1180
1181
1182
1183
1184
1185
1186
1187
1188
1189
1190
1191
1192
1193
1194
1195
1196
1197
1198
1199
1200
1201
1202
1203
1204
1205
1206
1207
1208
1209
1210
1211
1212
1213
1214
1215
1216
1217
1218
1219
1220
1221
1222
1223
1224
1225
1226
1227
1228
1229
1230
1231
1232
1233
1234
1235
1236
1237
1238
1239
1240
1241
1242
1243
1244
1245
1246
1247
1248
1249
1250
1251
1252
1253
1254
1255
1256
1257
1258
1259
1260
1261
1262
1263
1264
1265
1266
1267
1268
1269
1270
1271
1272
1273
1274
1275
1276
1277
1278
1279
1280
1281
1282
1283
1284
1285
1286
1287
1288
1289
1290
1291
1292
1293
1294
1295
1296
1297
1298
1299
1300
1301
1302
1303
1304
1305
1306
1307
1308
1309
1310
1311
1312
1313
1314
1315
1316
1317
1318
1319
1320
1321
1322
1323
1324
1325
1326
1327
1328
1329
1330
1331
1332
1333
1334
1335
1336
1337
1338
1339
1340
1341
1342
1343
1344
1345
1346
1347
1348
1349
1350
1351
1352
1353
1354
1355
1356
1357
1358
1359
1360
1361
1362
1363
1364
1365
1366
1367
1368
1369
1370
1371
1372
1373
1374
1375
1376
1377
1378
1379
1380
1381
1382
1383
1384
1385
1386
1387
1388
1389
1390
1391
1392
1393
1394
1395
1396
1397
1398
1399
1400
1401
1402
1403
1404
1405
1406
1407
1408
1409
1410
1411
1412
1413
1414
1415
1416
1417
1418
1419
1420
1421
1422
1423
1424
1425
1426
1427
1428
1429
1430
1431
1432
1433
1434
1435
1436
1437
1438
1439
1440
1441
1442
1443
1444
1445
1446
1447
1448
1449
1450
1451
1452
1453
1454
1455
1456
1457
1458
1459
1460
1461
1462
1463
1464
1465
1466
1467
1468
1469
1470
1471
1472
1473
1474
1475
1476
1477
1478
1479
1480
1481
1482
1483
1484
1485
1486
1487
1488
1489
1490
1491
1492
1493
1494
1495
1496
1497
1498
1499
1500
1501
1502
1503
1504
1505
1506
1507
1508
1509
1510
1511
1512
1513
1514
1515
1516
1517
1518
1519
1520
1521
1522
1523
1524
1525
1526
1527
1528
1529
1530
1531
1532
1533
1534
1535
1536
1537
1538
1539
1540
1541
1542
1543
1544
1545
1546
1547
1548
1549
1550
1551
1552
1553
1554
1555
1556
1557
1558
1559
1560
1561
1562
1563
1564
1565
1566
1567
1568
1569
1570
1571
1572
1573
1574
1575
1576
1577
1578
1579
1580
1581
1582
1583
1584
1585
1586
1587
1588
1589
1590
1591
1592
1593
1594
1595
1596
1597
1598
1599
1600
1601
1602
1603
1604
1605
1606
1607
1608
1609
1610
1611
1612
1613
1614
1615
1616
1617
1618
1619
1620
1621
1622
1623
1624
1625
1626
1627
1628
1629
1630
1631
1632
1633
1634
1635
1636
1637
1638
1639
1640
1641
1642
1643
1644
1645
1646
1647
1648
1649
1650
1651
1652
1653
1654
1655
1656
1657
1658
1659
1660
1661
1662
1663
1664
1665
1666
1667
1668
1669
1670
1671
1672
1673
1674
1675
1676
1677
1678
1679
1680
1681
1682
1683
1684
1685
1686
1687
1688
1689
1690
1691
1692
1693
1694
1695
1696
1697
1698
1699
1700
1701
1702
1703
1704
1705
1706
1707
1708
1709
1710
1711
1712
1713
1714
1715
1716
1717
1718
1719
1720
1721
1722
1723
1724
1725
1726
1727
1728
1729
1730
1731
1732
1733
1734
1735
1736
1737
1738
1739
1740
1741
1742
1743
1744
1745
1746
1747
1748
1749
1750
1751
1752
1753
1754
1755
1756
1757
1758
1759
1760
1761
1762
1763
1764
1765
1766
1767
1768
1769
1770
1771
1772
1773
1774
1775
1776
1777
1778
1779
1780
1781
1782
1783
1784
1785
1786
1787
1788
1789
1790
1791
1792
1793
1794
1795
1796
1797
1798
1799
1800
1801
1802
1803
1804
1805
1806
1807
1808
1809
1810
1811
1812
1813
1814
1815
1816
1817
1818
1819
1820
1821
1822
1823
1824
1825
1826
1827
1828
1829
1830
1831
1832
1833
1834
1835
1836
1837
1838
1839
1840
1841
1842
1843
1844
1845
1846
1847
1848
1849
1850
1851
1852
1853
1854
1855
1856
1857
1858
1859
1860
1861
1862
1863
1864
1865
1866
1867
1868
1869
1870
1871
1872
1873
1874
1875
1876
1877
1878
1879
1880
1881
1882
1883
1884
1885
1886
1887
1888
1889
1890
1891
1892
1893
TimeQuest Timing Analyzer report for ise_proj
Tue Mar 01 16:05:18 2016
Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version


---------------------
; Table of Contents ;
---------------------
  1. Legal Notice
  2. TimeQuest Timing Analyzer Summary
  3. Parallel Compilation
  4. Clocks
  5. Slow 1200mV 85C Model Fmax Summary
  6. Timing Closure Recommendations
  7. Slow 1200mV 85C Model Setup Summary
  8. Slow 1200mV 85C Model Hold Summary
  9. Slow 1200mV 85C Model Recovery Summary
 10. Slow 1200mV 85C Model Removal Summary
 11. Slow 1200mV 85C Model Minimum Pulse Width Summary
 12. Slow 1200mV 85C Model Setup: 'BUTTON[2]'
 13. Slow 1200mV 85C Model Hold: 'BUTTON[2]'
 14. Slow 1200mV 85C Model Minimum Pulse Width: 'BUTTON[2]'
 15. Setup Times
 16. Hold Times
 17. Clock to Output Times
 18. Minimum Clock to Output Times
 19. Slow 1200mV 85C Model Metastability Report
 20. Slow 1200mV 0C Model Fmax Summary
 21. Slow 1200mV 0C Model Setup Summary
 22. Slow 1200mV 0C Model Hold Summary
 23. Slow 1200mV 0C Model Recovery Summary
 24. Slow 1200mV 0C Model Removal Summary
 25. Slow 1200mV 0C Model Minimum Pulse Width Summary
 26. Slow 1200mV 0C Model Setup: 'BUTTON[2]'
 27. Slow 1200mV 0C Model Hold: 'BUTTON[2]'
 28. Slow 1200mV 0C Model Minimum Pulse Width: 'BUTTON[2]'
 29. Setup Times
 30. Hold Times
 31. Clock to Output Times
 32. Minimum Clock to Output Times
 33. Slow 1200mV 0C Model Metastability Report
 34. Fast 1200mV 0C Model Setup Summary
 35. Fast 1200mV 0C Model Hold Summary
 36. Fast 1200mV 0C Model Recovery Summary
 37. Fast 1200mV 0C Model Removal Summary
 38. Fast 1200mV 0C Model Minimum Pulse Width Summary
 39. Fast 1200mV 0C Model Setup: 'BUTTON[2]'
 40. Fast 1200mV 0C Model Hold: 'BUTTON[2]'
 41. Fast 1200mV 0C Model Minimum Pulse Width: 'BUTTON[2]'
 42. Setup Times
 43. Hold Times
 44. Clock to Output Times
 45. Minimum Clock to Output Times
 46. Fast 1200mV 0C Model Metastability Report
 47. Multicorner Timing Analysis Summary
 48. Setup Times
 49. Hold Times
 50. Clock to Output Times
 51. Minimum Clock to Output Times
 52. Board Trace Model Assignments
 53. Input Transition Times
 54. Slow Corner Signal Integrity Metrics
 55. Fast Corner Signal Integrity Metrics
 56. Setup Transfers
 57. Hold Transfers
 58. Report TCCS
 59. Report RSKM
 60. Unconstrained Paths
 61. TimeQuest Timing Analyzer Messages



----------------
; Legal Notice ;
----------------
Copyright (C) 1991-2013 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.



+-----------------------------------------------------------------------------------------+
; TimeQuest Timing Analyzer Summary                                                       ;
+--------------------+--------------------------------------------------------------------+
; Quartus II Version ; Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version ;
; Revision Name      ; ise_proj                                                           ;
; Device Family      ; Cyclone III                                                        ;
; Device Name        ; EP3C16F484C6                                                       ;
; Timing Models      ; Final                                                              ;
; Delay Model        ; Combined                                                           ;
; Rise/Fall Delays   ; Enabled                                                            ;
+--------------------+--------------------------------------------------------------------+


+------------------------------------------+
; Parallel Compilation                     ;
+----------------------------+-------------+
; Processors                 ; Number      ;
+----------------------------+-------------+
; Number detected on machine ; 8           ;
; Maximum allowed            ; 4           ;
;                            ;             ;
; Average used               ; 1.00        ;
; Maximum used               ; 4           ;
;                            ;             ;
; Usage by Processor         ; % Time Used ;
;     Processor 1            ; 100.0%      ;
;     Processors 2-4         ; < 0.1%      ;
;     Processors 5-8         ;   0.0%      ;
+----------------------------+-------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Clocks                                                                                                                                                                                ;
+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+---------------+
; Clock Name ; Type ; Period ; Frequency  ; Rise  ; Fall  ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets       ;
+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+---------------+
; BUTTON[2]  ; Base ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { BUTTON[2] } ;
+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+---------------+


+-----------------------------------------------------------------------------------------------------------+
; Slow 1200mV 85C Model Fmax Summary                                                                        ;
+------------+-----------------+------------+---------------------------------------------------------------+
; Fmax       ; Restricted Fmax ; Clock Name ; Note                                                          ;
+------------+-----------------+------------+---------------------------------------------------------------+
; 318.37 MHz ; 250.0 MHz       ; BUTTON[2]  ; limit due to minimum period restriction (max I/O toggle rate) ;
+------------+-----------------+------------+---------------------------------------------------------------+
This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods.  FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock.  Paths of different clocks, including generated clocks, are ignored.  For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.


----------------------------------
; Timing Closure Recommendations ;
----------------------------------
HTML report is unavailable in plain text report export.


+-------------------------------------+
; Slow 1200mV 85C Model Setup Summary ;
+-----------+--------+----------------+
; Clock     ; Slack  ; End Point TNS  ;
+-----------+--------+----------------+
; BUTTON[2] ; -2.141 ; -24.967        ;
+-----------+--------+----------------+


+------------------------------------+
; Slow 1200mV 85C Model Hold Summary ;
+-----------+-------+----------------+
; Clock     ; Slack ; End Point TNS  ;
+-----------+-------+----------------+
; BUTTON[2] ; 0.382 ; 0.000          ;
+-----------+-------+----------------+


------------------------------------------
; Slow 1200mV 85C Model Recovery Summary ;
------------------------------------------
No paths to report.


-----------------------------------------
; Slow 1200mV 85C Model Removal Summary ;
-----------------------------------------
No paths to report.


+---------------------------------------------------+
; Slow 1200mV 85C Model Minimum Pulse Width Summary ;
+-----------+--------+------------------------------+
; Clock     ; Slack  ; End Point TNS                ;
+-----------+--------+------------------------------+
; BUTTON[2] ; -3.000 ; -23.000                      ;
+-----------+--------+------------------------------+


+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow 1200mV 85C Model Setup: 'BUTTON[2]'                                                                                                                                                                                                     ;
+--------+----------------------------------------------------------------------+----------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack  ; From Node                                                            ; To Node                                                                                ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+----------------------------------------------------------------------+----------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; -2.141 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 3.114      ;
; -2.082 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 3.055      ;
; -2.058 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 3.031      ;
; -2.045 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 3.018      ;
; -2.030 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 3.003      ;
; -2.030 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 3.003      ;
; -1.999 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.972      ;
; -1.986 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.959      ;
; -1.967 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.940      ;
; -1.953 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.926      ;
; -1.949 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.922      ;
; -1.890 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.863      ;
; -1.882 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.855      ;
; -1.872 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.845      ;
; -1.817 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.790      ;
; -1.805 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.778      ;
; -1.776 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.749      ;
; -1.758 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.731      ;
; -1.738 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.711      ;
; -1.718 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.691      ;
; -1.706 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.679      ;
; -1.702 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.675      ;
; -1.701 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.674      ;
; -1.695 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.668      ;
; -1.679 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.652      ;
; -1.655 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.628      ;
; -1.643 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.616      ;
; -1.635 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.608      ;
; -1.628 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.601      ;
; -1.622 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.595      ;
; -1.620 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.593      ;
; -1.528 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.501      ;
; -1.504 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.477      ;
; -1.431 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.404      ;
; -1.361 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 2.337      ;
; -1.355 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 2.331      ;
; -1.354 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.327      ;
; -1.338 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 2.314      ;
; -1.278 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 2.254      ;
; -1.265 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 2.241      ;
; -1.261 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 2.237      ;
; -1.247 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.220      ;
; -1.245 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 2.221      ;
; -1.239 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 2.215      ;
; -1.222 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 2.198      ;
; -1.210 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.183      ;
; -1.186 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.159      ;
; -1.177 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.150      ;
; -1.162 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 2.138      ;
; -1.149 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 2.125      ;
; -1.145 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 2.121      ;
; -1.129 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 2.105      ;
; -1.123 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 2.099      ;
; -1.106 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 2.082      ;
; -1.084 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 2.060      ;
; -1.071 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.044      ;
; -1.050 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 2.023      ;
; -1.046 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 2.022      ;
; -1.037 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 2.013      ;
; -1.033 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 2.009      ;
; -1.031 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 2.007      ;
; -1.029 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 2.005      ;
; -1.009 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 1.985      ;
; -0.990 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 1.966      ;
; -0.984 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 1.957      ;
; -0.974 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 1.947      ;
; -0.968 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 1.944      ;
; -0.962 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 1.938      ;
; -0.922 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 1.898      ;
; -0.921 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 1.897      ;
; -0.916 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 1.892      ;
; -0.915 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 1.891      ;
; -0.913 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 1.889      ;
; -0.893 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 1.869      ;
; -0.893 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 1.869      ;
; -0.887 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 1.863      ;
; -0.852 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 1.828      ;
; -0.846 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 1.822      ;
; -0.830 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 1.806      ;
; -0.741 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.042     ; 1.714      ;
; -0.614 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 1.590      ;
; -0.609 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 1.585      ;
; -0.457 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.159     ; 1.313      ;
; -0.455 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.159     ; 1.311      ;
; -0.455 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.047      ; 1.517      ;
; -0.455 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.047      ; 1.517      ;
; -0.455 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.047      ; 1.517      ;
; -0.455 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.047      ; 1.517      ;
; -0.455 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.047      ; 1.517      ;
; -0.455 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.047      ; 1.517      ;
; -0.455 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.047      ; 1.517      ;
; -0.455 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.047      ; 1.517      ;
; -0.453 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.159     ; 1.309      ;
; -0.424 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 1.400      ;
; -0.418 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 1.394      ;
; -0.402 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 1.378      ;
; -0.401 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 1.377      ;
; -0.394 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 1.370      ;
; -0.131 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.039     ; 1.107      ;
; 0.016  ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.026      ; 1.025      ;
+--------+----------------------------------------------------------------------+----------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow 1200mV 85C Model Hold: 'BUTTON[2]'                                                                                                                                                                                                     ;
+-------+----------------------------------------------------------------------+----------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack ; From Node                                                            ; To Node                                                                                ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+-------+----------------------------------------------------------------------+----------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; 0.382 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.038      ; 0.577      ;
; 0.382 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.038      ; 0.577      ;
; 0.385 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.038      ; 0.580      ;
; 0.385 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.038      ; 0.580      ;
; 0.578 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.040      ; 0.775      ;
; 0.581 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.040      ; 0.778      ;
; 0.581 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.040      ; 0.778      ;
; 0.612 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.159      ; 0.928      ;
; 0.786 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.024      ;
; 0.947 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.185      ;
; 0.949 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.187      ;
; 0.952 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.190      ;
; 0.986 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.224      ;
; 0.986 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.224      ;
; 1.023 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.261      ;
; 1.025 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.263      ;
; 1.031 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.269      ;
; 1.032 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.270      ;
; 1.034 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.272      ;
; 1.067 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.305      ;
; 1.070 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.308      ;
; 1.085 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; -0.026     ; 1.216      ;
; 1.087 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; -0.026     ; 1.218      ;
; 1.091 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; -0.026     ; 1.222      ;
; 1.097 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.335      ;
; 1.106 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.344      ;
; 1.136 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.374      ;
; 1.207 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.180      ; 1.544      ;
; 1.207 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.180      ; 1.544      ;
; 1.207 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.180      ; 1.544      ;
; 1.207 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.180      ; 1.544      ;
; 1.207 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.180      ; 1.544      ;
; 1.207 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.180      ; 1.544      ;
; 1.207 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.180      ; 1.544      ;
; 1.207 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.180      ; 1.544      ;
; 1.223 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.461      ;
; 1.224 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.462      ;
; 1.231 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.469      ;
; 1.238 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.476      ;
; 1.251 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.489      ;
; 1.260 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.498      ;
; 1.277 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.515      ;
; 1.304 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.542      ;
; 1.308 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.546      ;
; 1.335 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.573      ;
; 1.343 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.581      ;
; 1.350 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.588      ;
; 1.369 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.607      ;
; 1.372 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.610      ;
; 1.384 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.622      ;
; 1.386 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.624      ;
; 1.416 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.654      ;
; 1.422 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 1.621      ;
; 1.455 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.693      ;
; 1.479 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.717      ;
; 1.481 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.719      ;
; 1.483 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 1.682      ;
; 1.496 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.734      ;
; 1.498 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.736      ;
; 1.511 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 1.710      ;
; 1.557 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 1.756      ;
; 1.560 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 1.759      ;
; 1.585 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 1.784      ;
; 1.591 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.829      ;
; 1.593 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.831      ;
; 1.608 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.846      ;
; 1.610 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.848      ;
; 1.638 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 1.837      ;
; 1.703 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.941      ;
; 1.720 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.081      ; 1.958      ;
; 1.741 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 1.940      ;
; 1.772 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 1.971      ;
; 1.775 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 1.974      ;
; 1.783 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 1.982      ;
; 1.823 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.022      ;
; 1.837 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.036      ;
; 1.840 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.039      ;
; 1.857 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.056      ;
; 1.867 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.066      ;
; 1.884 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.083      ;
; 1.918 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.117      ;
; 1.941 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.140      ;
; 1.982 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.181      ;
; 1.999 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.198      ;
; 2.005 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.204      ;
; 2.021 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.220      ;
; 2.023 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.222      ;
; 2.049 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.248      ;
; 2.074 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.273      ;
; 2.074 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.273      ;
; 2.083 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.282      ;
; 2.088 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.287      ;
; 2.089 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.288      ;
; 2.127 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.326      ;
; 2.132 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.331      ;
; 2.147 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.346      ;
; 2.164 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.363      ;
; 2.272 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.471      ;
; 2.289 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.488      ;
; 2.332 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.042      ; 2.531      ;
+-------+----------------------------------------------------------------------+----------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow 1200mV 85C Model Minimum Pulse Width: 'BUTTON[2]'                                                                                                                      ;
+--------+--------------+----------------+------------------+-----------+------------+----------------------------------------------------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type             ; Clock     ; Clock Edge ; Target                                                                                 ;
+--------+--------------+----------------+------------------+-----------+------------+----------------------------------------------------------------------------------------+
; -3.000 ; 1.000        ; 4.000          ; Port Rate        ; BUTTON[2] ; Rise       ; BUTTON[2]                                                                              ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ;
; 0.097  ; 0.281        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ;
; 0.097  ; 0.281        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ;
; 0.097  ; 0.281        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ;
; 0.097  ; 0.281        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ;
; 0.097  ; 0.281        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ;
; 0.097  ; 0.281        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ;
; 0.097  ; 0.281        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ;
; 0.097  ; 0.281        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ;
; 0.102  ; 0.286        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0]                   ;
; 0.102  ; 0.286        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ;
; 0.102  ; 0.286        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ;
; 0.102  ; 0.286        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ;
; 0.102  ; 0.286        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ;
; 0.102  ; 0.286        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ;
; 0.102  ; 0.286        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ;
; 0.102  ; 0.286        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ;
; 0.102  ; 0.286        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi                   ;
; 0.106  ; 0.290        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0]                   ;
; 0.106  ; 0.290        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1]                   ;
; 0.106  ; 0.290        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2]                   ;
; 0.245  ; 0.245        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; BUTTON[2]~input|o                                                                      ;
; 0.257  ; 0.257        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0]|clk                          ;
; 0.257  ; 0.257        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1]|clk                          ;
; 0.257  ; 0.257        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2]|clk                          ;
; 0.257  ; 0.257        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3]|clk                          ;
; 0.257  ; 0.257        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4]|clk                          ;
; 0.257  ; 0.257        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5]|clk                          ;
; 0.257  ; 0.257        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6]|clk                          ;
; 0.257  ; 0.257        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7]|clk                          ;
; 0.262  ; 0.262        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[0]|clk                                            ;
; 0.262  ; 0.262        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[1]|clk                                            ;
; 0.262  ; 0.262        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[2]|clk                                            ;
; 0.262  ; 0.262        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[3]|clk                                            ;
; 0.262  ; 0.262        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[4]|clk                                            ;
; 0.262  ; 0.262        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[5]|clk                                            ;
; 0.262  ; 0.262        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[6]|clk                                            ;
; 0.262  ; 0.262        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[7]|clk                                            ;
; 0.262  ; 0.262        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|exit_MAC_lpi|clk                                            ;
; 0.266  ; 0.266        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|i_1_sva_1[0]|clk                                            ;
; 0.266  ; 0.266        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|i_1_sva_1[1]|clk                                            ;
; 0.266  ; 0.266        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|i_1_sva_1[2]|clk                                            ;
; 0.494  ; 0.710        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0]                   ;
; 0.494  ; 0.710        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1]                   ;
; 0.494  ; 0.710        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2]                   ;
; 0.496  ; 0.712        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0]                   ;
; 0.496  ; 0.712        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ;
; 0.496  ; 0.712        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ;
; 0.496  ; 0.712        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ;
; 0.496  ; 0.712        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ;
; 0.496  ; 0.712        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ;
; 0.496  ; 0.712        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ;
; 0.496  ; 0.712        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ;
; 0.496  ; 0.712        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi                   ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; BUTTON[2]~input|i                                                                      ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; BUTTON[2]~input|i                                                                      ;
; 0.503  ; 0.719        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ;
; 0.503  ; 0.719        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ;
; 0.503  ; 0.719        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ;
; 0.503  ; 0.719        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ;
; 0.503  ; 0.719        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ;
; 0.503  ; 0.719        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ;
; 0.503  ; 0.719        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ;
; 0.503  ; 0.719        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ;
; 0.732  ; 0.732        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|i_1_sva_1[0]|clk                                            ;
; 0.732  ; 0.732        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|i_1_sva_1[1]|clk                                            ;
; 0.732  ; 0.732        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|i_1_sva_1[2]|clk                                            ;
; 0.734  ; 0.734        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[0]|clk                                            ;
; 0.734  ; 0.734        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[1]|clk                                            ;
; 0.734  ; 0.734        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[2]|clk                                            ;
; 0.734  ; 0.734        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[3]|clk                                            ;
; 0.734  ; 0.734        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[4]|clk                                            ;
; 0.734  ; 0.734        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[5]|clk                                            ;
; 0.734  ; 0.734        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[6]|clk                                            ;
; 0.734  ; 0.734        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[7]|clk                                            ;
; 0.734  ; 0.734        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|exit_MAC_lpi|clk                                            ;
; 0.741  ; 0.741        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0]|clk                          ;
; 0.741  ; 0.741        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1]|clk                          ;
; 0.741  ; 0.741        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2]|clk                          ;
; 0.741  ; 0.741        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3]|clk                          ;
+--------+--------------+----------------+------------------+-----------+------------+----------------------------------------------------------------------------------------+


+-----------------------------------------------------------------------+
; Setup Times                                                           ;
+-----------+------------+-------+-------+------------+-----------------+
; Data Port ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+-----------+------------+-------+-------+------------+-----------------+
; SW[*]     ; BUTTON[2]  ; 7.383 ; 7.891 ; Rise       ; BUTTON[2]       ;
;  SW[0]    ; BUTTON[2]  ; 6.704 ; 7.200 ; Rise       ; BUTTON[2]       ;
;  SW[1]    ; BUTTON[2]  ; 7.383 ; 7.891 ; Rise       ; BUTTON[2]       ;
;  SW[2]    ; BUTTON[2]  ; 6.674 ; 7.197 ; Rise       ; BUTTON[2]       ;
;  SW[3]    ; BUTTON[2]  ; 6.824 ; 7.333 ; Rise       ; BUTTON[2]       ;
;  SW[4]    ; BUTTON[2]  ; 5.408 ; 5.661 ; Rise       ; BUTTON[2]       ;
;  SW[5]    ; BUTTON[2]  ; 6.484 ; 7.044 ; Rise       ; BUTTON[2]       ;
;  SW[6]    ; BUTTON[2]  ; 6.452 ; 6.945 ; Rise       ; BUTTON[2]       ;
;  SW[7]    ; BUTTON[2]  ; 6.754 ; 7.259 ; Rise       ; BUTTON[2]       ;
;  SW[8]    ; BUTTON[2]  ; 2.293 ; 2.791 ; Rise       ; BUTTON[2]       ;
+-----------+------------+-------+-------+------------+-----------------+


+-------------------------------------------------------------------------+
; Hold Times                                                              ;
+-----------+------------+--------+--------+------------+-----------------+
; Data Port ; Clock Port ; Rise   ; Fall   ; Clock Edge ; Clock Reference ;
+-----------+------------+--------+--------+------------+-----------------+
; SW[*]     ; BUTTON[2]  ; -1.757 ; -2.238 ; Rise       ; BUTTON[2]       ;
;  SW[0]    ; BUTTON[2]  ; -2.691 ; -3.206 ; Rise       ; BUTTON[2]       ;
;  SW[1]    ; BUTTON[2]  ; -2.439 ; -2.947 ; Rise       ; BUTTON[2]       ;
;  SW[2]    ; BUTTON[2]  ; -2.301 ; -2.782 ; Rise       ; BUTTON[2]       ;
;  SW[3]    ; BUTTON[2]  ; -2.659 ; -3.161 ; Rise       ; BUTTON[2]       ;
;  SW[4]    ; BUTTON[2]  ; -2.479 ; -2.979 ; Rise       ; BUTTON[2]       ;
;  SW[5]    ; BUTTON[2]  ; -2.662 ; -3.203 ; Rise       ; BUTTON[2]       ;
;  SW[6]    ; BUTTON[2]  ; -3.144 ; -3.587 ; Rise       ; BUTTON[2]       ;
;  SW[7]    ; BUTTON[2]  ; -3.480 ; -3.969 ; Rise       ; BUTTON[2]       ;
;  SW[8]    ; BUTTON[2]  ; -1.757 ; -2.238 ; Rise       ; BUTTON[2]       ;
+-----------+------------+--------+--------+------------+-----------------+


+-----------------------------------------------------------------------+
; Clock to Output Times                                                 ;
+-----------+------------+-------+-------+------------+-----------------+
; Data Port ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+-----------+------------+-------+-------+------------+-----------------+
; LEDG[*]   ; BUTTON[2]  ; 7.240 ; 7.014 ; Rise       ; BUTTON[2]       ;
;  LEDG[0]  ; BUTTON[2]  ; 5.373 ; 5.305 ; Rise       ; BUTTON[2]       ;
;  LEDG[1]  ; BUTTON[2]  ; 5.355 ; 5.282 ; Rise       ; BUTTON[2]       ;
;  LEDG[2]  ; BUTTON[2]  ; 7.240 ; 7.014 ; Rise       ; BUTTON[2]       ;
;  LEDG[3]  ; BUTTON[2]  ; 5.384 ; 5.320 ; Rise       ; BUTTON[2]       ;
;  LEDG[4]  ; BUTTON[2]  ; 5.247 ; 5.143 ; Rise       ; BUTTON[2]       ;
;  LEDG[5]  ; BUTTON[2]  ; 5.240 ; 5.135 ; Rise       ; BUTTON[2]       ;
;  LEDG[6]  ; BUTTON[2]  ; 5.552 ; 5.449 ; Rise       ; BUTTON[2]       ;
;  LEDG[7]  ; BUTTON[2]  ; 5.406 ; 5.338 ; Rise       ; BUTTON[2]       ;
+-----------+------------+-------+-------+------------+-----------------+


+-----------------------------------------------------------------------+
; Minimum Clock to Output Times                                         ;
+-----------+------------+-------+-------+------------+-----------------+
; Data Port ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+-----------+------------+-------+-------+------------+-----------------+
; LEDG[*]   ; BUTTON[2]  ; 5.135 ; 5.030 ; Rise       ; BUTTON[2]       ;
;  LEDG[0]  ; BUTTON[2]  ; 5.262 ; 5.192 ; Rise       ; BUTTON[2]       ;
;  LEDG[1]  ; BUTTON[2]  ; 5.245 ; 5.170 ; Rise       ; BUTTON[2]       ;
;  LEDG[2]  ; BUTTON[2]  ; 7.130 ; 6.901 ; Rise       ; BUTTON[2]       ;
;  LEDG[3]  ; BUTTON[2]  ; 5.274 ; 5.207 ; Rise       ; BUTTON[2]       ;
;  LEDG[4]  ; BUTTON[2]  ; 5.142 ; 5.037 ; Rise       ; BUTTON[2]       ;
;  LEDG[5]  ; BUTTON[2]  ; 5.135 ; 5.030 ; Rise       ; BUTTON[2]       ;
;  LEDG[6]  ; BUTTON[2]  ; 5.436 ; 5.332 ; Rise       ; BUTTON[2]       ;
;  LEDG[7]  ; BUTTON[2]  ; 5.296 ; 5.226 ; Rise       ; BUTTON[2]       ;
+-----------+------------+-------+-------+------------+-----------------+


----------------------------------------------
; Slow 1200mV 85C Model Metastability Report ;
----------------------------------------------
No synchronizer chains to report.


+-----------------------------------------------------------------------------------------------------------+
; Slow 1200mV 0C Model Fmax Summary                                                                         ;
+------------+-----------------+------------+---------------------------------------------------------------+
; Fmax       ; Restricted Fmax ; Clock Name ; Note                                                          ;
+------------+-----------------+------------+---------------------------------------------------------------+
; 356.51 MHz ; 250.0 MHz       ; BUTTON[2]  ; limit due to minimum period restriction (max I/O toggle rate) ;
+------------+-----------------+------------+---------------------------------------------------------------+
This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods.  FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock.  Paths of different clocks, including generated clocks, are ignored.  For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.


+------------------------------------+
; Slow 1200mV 0C Model Setup Summary ;
+-----------+--------+---------------+
; Clock     ; Slack  ; End Point TNS ;
+-----------+--------+---------------+
; BUTTON[2] ; -1.805 ; -20.320       ;
+-----------+--------+---------------+


+-----------------------------------+
; Slow 1200mV 0C Model Hold Summary ;
+-----------+-------+---------------+
; Clock     ; Slack ; End Point TNS ;
+-----------+-------+---------------+
; BUTTON[2] ; 0.333 ; 0.000         ;
+-----------+-------+---------------+


-----------------------------------------
; Slow 1200mV 0C Model Recovery Summary ;
-----------------------------------------
No paths to report.


----------------------------------------
; Slow 1200mV 0C Model Removal Summary ;
----------------------------------------
No paths to report.


+--------------------------------------------------+
; Slow 1200mV 0C Model Minimum Pulse Width Summary ;
+-----------+--------+-----------------------------+
; Clock     ; Slack  ; End Point TNS               ;
+-----------+--------+-----------------------------+
; BUTTON[2] ; -3.000 ; -23.000                     ;
+-----------+--------+-----------------------------+


+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow 1200mV 0C Model Setup: 'BUTTON[2]'                                                                                                                                                                                                      ;
+--------+----------------------------------------------------------------------+----------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack  ; From Node                                                            ; To Node                                                                                ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+----------------------------------------------------------------------+----------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; -1.805 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.783      ;
; -1.755 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.733      ;
; -1.735 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.713      ;
; -1.730 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.708      ;
; -1.718 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.696      ;
; -1.685 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.663      ;
; -1.678 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.656      ;
; -1.668 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.646      ;
; -1.660 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.638      ;
; -1.652 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.630      ;
; -1.624 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.602      ;
; -1.608 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.586      ;
; -1.582 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.560      ;
; -1.560 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.538      ;
; -1.538 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.516      ;
; -1.514 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.492      ;
; -1.497 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.475      ;
; -1.464 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.442      ;
; -1.449 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.427      ;
; -1.437 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.415      ;
; -1.431 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.409      ;
; -1.419 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.397      ;
; -1.416 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.394      ;
; -1.399 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.377      ;
; -1.387 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.365      ;
; -1.377 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.355      ;
; -1.375 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.353      ;
; -1.361 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.339      ;
; -1.359 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.337      ;
; -1.344 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.322      ;
; -1.333 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.311      ;
; -1.259 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.237      ;
; -1.235 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.213      ;
; -1.201 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.179      ;
; -1.131 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.109      ;
; -1.091 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 2.072      ;
; -1.087 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 2.068      ;
; -1.069 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 2.050      ;
; -1.027 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 2.005      ;
; -1.021 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 2.002      ;
; -1.017 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.998      ;
; -1.000 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.981      ;
; -0.991 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.972      ;
; -0.987 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.968      ;
; -0.980 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 1.958      ;
; -0.973 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 1.951      ;
; -0.969 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.950      ;
; -0.958 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 1.936      ;
; -0.921 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.902      ;
; -0.917 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.898      ;
; -0.900 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.881      ;
; -0.891 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.872      ;
; -0.887 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.868      ;
; -0.869 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.850      ;
; -0.858 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.839      ;
; -0.840 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 1.818      ;
; -0.837 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 1.815      ;
; -0.821 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.802      ;
; -0.817 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.798      ;
; -0.800 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.781      ;
; -0.798 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.779      ;
; -0.796 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.777      ;
; -0.791 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.772      ;
; -0.778 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.759      ;
; -0.763 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 1.741      ;
; -0.758 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.739      ;
; -0.749 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 1.727      ;
; -0.740 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.721      ;
; -0.721 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.702      ;
; -0.698 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.679      ;
; -0.698 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.679      ;
; -0.698 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.679      ;
; -0.696 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.677      ;
; -0.680 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.661      ;
; -0.680 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.661      ;
; -0.678 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.659      ;
; -0.658 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.639      ;
; -0.640 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.621      ;
; -0.639 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.620      ;
; -0.573 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.037     ; 1.551      ;
; -0.430 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.411      ;
; -0.429 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.410      ;
; -0.315 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.145     ; 1.185      ;
; -0.311 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.145     ; 1.181      ;
; -0.311 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.145     ; 1.181      ;
; -0.307 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.043      ; 1.365      ;
; -0.307 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.043      ; 1.365      ;
; -0.307 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.043      ; 1.365      ;
; -0.307 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.043      ; 1.365      ;
; -0.307 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.043      ; 1.365      ;
; -0.307 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.043      ; 1.365      ;
; -0.307 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.043      ; 1.365      ;
; -0.307 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.043      ; 1.365      ;
; -0.259 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.240      ;
; -0.255 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.236      ;
; -0.248 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.229      ;
; -0.244 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.225      ;
; -0.227 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 1.208      ;
; -0.012 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.034     ; 0.993      ;
; 0.126  ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.023      ; 0.912      ;
+--------+----------------------------------------------------------------------+----------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow 1200mV 0C Model Hold: 'BUTTON[2]'                                                                                                                                                                                                      ;
+-------+----------------------------------------------------------------------+----------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack ; From Node                                                            ; To Node                                                                                ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+-------+----------------------------------------------------------------------+----------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; 0.333 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.034      ; 0.511      ;
; 0.333 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.034      ; 0.511      ;
; 0.341 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.034      ; 0.519      ;
; 0.341 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.034      ; 0.519      ;
; 0.518 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 0.699      ;
; 0.520 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 0.701      ;
; 0.520 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 0.701      ;
; 0.554 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.145      ; 0.843      ;
; 0.687 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 0.906      ;
; 0.853 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.072      ;
; 0.853 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.072      ;
; 0.857 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.076      ;
; 0.874 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.093      ;
; 0.879 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.098      ;
; 0.932 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.151      ;
; 0.933 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.152      ;
; 0.937 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.156      ;
; 0.941 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.160      ;
; 0.942 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.161      ;
; 0.974 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.193      ;
; 0.976 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.195      ;
; 0.984 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; -0.023     ; 1.105      ;
; 0.989 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; -0.023     ; 1.110      ;
; 0.999 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.218      ;
; 1.001 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; -0.023     ; 1.122      ;
; 1.008 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.227      ;
; 1.029 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.248      ;
; 1.084 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.303      ;
; 1.090 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.166      ; 1.400      ;
; 1.090 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.166      ; 1.400      ;
; 1.090 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.166      ; 1.400      ;
; 1.090 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.166      ; 1.400      ;
; 1.090 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.166      ; 1.400      ;
; 1.090 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.166      ; 1.400      ;
; 1.090 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.166      ; 1.400      ;
; 1.090 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.166      ; 1.400      ;
; 1.100 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.319      ;
; 1.100 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.319      ;
; 1.104 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.323      ;
; 1.110 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.329      ;
; 1.113 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.332      ;
; 1.148 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.367      ;
; 1.151 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.370      ;
; 1.151 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.370      ;
; 1.180 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.399      ;
; 1.196 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.415      ;
; 1.206 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.425      ;
; 1.209 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.428      ;
; 1.242 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.461      ;
; 1.244 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.463      ;
; 1.247 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.466      ;
; 1.254 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.473      ;
; 1.272 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 1.453      ;
; 1.276 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.495      ;
; 1.331 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.550      ;
; 1.338 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.557      ;
; 1.343 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.562      ;
; 1.350 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.569      ;
; 1.357 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 1.538      ;
; 1.384 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 1.565      ;
; 1.419 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 1.600      ;
; 1.424 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 1.605      ;
; 1.427 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.646      ;
; 1.434 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.653      ;
; 1.439 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.658      ;
; 1.446 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.665      ;
; 1.451 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 1.632      ;
; 1.501 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 1.682      ;
; 1.523 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.742      ;
; 1.535 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.075      ; 1.754      ;
; 1.575 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 1.756      ;
; 1.586 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 1.767      ;
; 1.586 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 1.767      ;
; 1.614 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 1.795      ;
; 1.638 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 1.819      ;
; 1.656 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 1.837      ;
; 1.667 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 1.848      ;
; 1.684 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 1.865      ;
; 1.691 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 1.872      ;
; 1.706 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 1.887      ;
; 1.735 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 1.916      ;
; 1.753 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 1.934      ;
; 1.790 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 1.971      ;
; 1.810 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 1.991      ;
; 1.817 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 1.998      ;
; 1.822 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 2.003      ;
; 1.828 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 2.009      ;
; 1.834 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 2.015      ;
; 1.860 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 2.041      ;
; 1.861 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 2.042      ;
; 1.877 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 2.058      ;
; 1.881 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 2.062      ;
; 1.890 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 2.071      ;
; 1.899 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 2.080      ;
; 1.926 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 2.107      ;
; 1.931 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 2.112      ;
; 1.939 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 2.120      ;
; 2.046 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 2.227      ;
; 2.058 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 2.239      ;
; 2.108 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.037      ; 2.289      ;
+-------+----------------------------------------------------------------------+----------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow 1200mV 0C Model Minimum Pulse Width: 'BUTTON[2]'                                                                                                                       ;
+--------+--------------+----------------+------------------+-----------+------------+----------------------------------------------------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type             ; Clock     ; Clock Edge ; Target                                                                                 ;
+--------+--------------+----------------+------------------+-----------+------------+----------------------------------------------------------------------------------------+
; -3.000 ; 1.000        ; 4.000          ; Port Rate        ; BUTTON[2] ; Rise       ; BUTTON[2]                                                                              ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ;
; 0.135  ; 0.319        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ;
; 0.135  ; 0.319        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ;
; 0.135  ; 0.319        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ;
; 0.135  ; 0.319        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ;
; 0.135  ; 0.319        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ;
; 0.135  ; 0.319        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ;
; 0.135  ; 0.319        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ;
; 0.135  ; 0.319        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ;
; 0.138  ; 0.322        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0]                   ;
; 0.138  ; 0.322        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ;
; 0.138  ; 0.322        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ;
; 0.138  ; 0.322        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ;
; 0.138  ; 0.322        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ;
; 0.138  ; 0.322        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ;
; 0.138  ; 0.322        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ;
; 0.138  ; 0.322        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ;
; 0.138  ; 0.322        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi                   ;
; 0.140  ; 0.324        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0]                   ;
; 0.140  ; 0.324        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1]                   ;
; 0.140  ; 0.324        ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2]                   ;
; 0.245  ; 0.245        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; BUTTON[2]~input|o                                                                      ;
; 0.295  ; 0.295        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0]|clk                          ;
; 0.295  ; 0.295        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1]|clk                          ;
; 0.295  ; 0.295        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2]|clk                          ;
; 0.295  ; 0.295        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3]|clk                          ;
; 0.295  ; 0.295        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4]|clk                          ;
; 0.295  ; 0.295        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5]|clk                          ;
; 0.295  ; 0.295        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6]|clk                          ;
; 0.295  ; 0.295        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7]|clk                          ;
; 0.298  ; 0.298        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[0]|clk                                            ;
; 0.298  ; 0.298        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[1]|clk                                            ;
; 0.298  ; 0.298        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[2]|clk                                            ;
; 0.298  ; 0.298        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[3]|clk                                            ;
; 0.298  ; 0.298        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[4]|clk                                            ;
; 0.298  ; 0.298        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[5]|clk                                            ;
; 0.298  ; 0.298        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[6]|clk                                            ;
; 0.298  ; 0.298        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[7]|clk                                            ;
; 0.298  ; 0.298        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|exit_MAC_lpi|clk                                            ;
; 0.300  ; 0.300        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|i_1_sva_1[0]|clk                                            ;
; 0.300  ; 0.300        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|i_1_sva_1[1]|clk                                            ;
; 0.300  ; 0.300        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|i_1_sva_1[2]|clk                                            ;
; 0.457  ; 0.673        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0]                   ;
; 0.457  ; 0.673        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1]                   ;
; 0.457  ; 0.673        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2]                   ;
; 0.459  ; 0.675        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0]                   ;
; 0.459  ; 0.675        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ;
; 0.459  ; 0.675        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ;
; 0.459  ; 0.675        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ;
; 0.459  ; 0.675        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ;
; 0.459  ; 0.675        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ;
; 0.459  ; 0.675        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ;
; 0.459  ; 0.675        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ;
; 0.459  ; 0.675        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi                   ;
; 0.462  ; 0.678        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ;
; 0.462  ; 0.678        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ;
; 0.462  ; 0.678        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ;
; 0.462  ; 0.678        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ;
; 0.462  ; 0.678        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ;
; 0.462  ; 0.678        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ;
; 0.462  ; 0.678        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ;
; 0.462  ; 0.678        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; BUTTON[2]~input|i                                                                      ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; BUTTON[2]~input|i                                                                      ;
; 0.697  ; 0.697        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|i_1_sva_1[0]|clk                                            ;
; 0.697  ; 0.697        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|i_1_sva_1[1]|clk                                            ;
; 0.697  ; 0.697        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|i_1_sva_1[2]|clk                                            ;
; 0.699  ; 0.699        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[0]|clk                                            ;
; 0.699  ; 0.699        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[1]|clk                                            ;
; 0.699  ; 0.699        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[2]|clk                                            ;
; 0.699  ; 0.699        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[3]|clk                                            ;
; 0.699  ; 0.699        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[4]|clk                                            ;
; 0.699  ; 0.699        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[5]|clk                                            ;
; 0.699  ; 0.699        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[6]|clk                                            ;
; 0.699  ; 0.699        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[7]|clk                                            ;
; 0.699  ; 0.699        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|exit_MAC_lpi|clk                                            ;
; 0.702  ; 0.702        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0]|clk                          ;
; 0.702  ; 0.702        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1]|clk                          ;
; 0.702  ; 0.702        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2]|clk                          ;
; 0.702  ; 0.702        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3]|clk                          ;
+--------+--------------+----------------+------------------+-----------+------------+----------------------------------------------------------------------------------------+


+-----------------------------------------------------------------------+
; Setup Times                                                           ;
+-----------+------------+-------+-------+------------+-----------------+
; Data Port ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+-----------+------------+-------+-------+------------+-----------------+
; SW[*]     ; BUTTON[2]  ; 6.470 ; 6.920 ; Rise       ; BUTTON[2]       ;
;  SW[0]    ; BUTTON[2]  ; 5.870 ; 6.283 ; Rise       ; BUTTON[2]       ;
;  SW[1]    ; BUTTON[2]  ; 6.470 ; 6.920 ; Rise       ; BUTTON[2]       ;
;  SW[2]    ; BUTTON[2]  ; 5.845 ; 6.294 ; Rise       ; BUTTON[2]       ;
;  SW[3]    ; BUTTON[2]  ; 5.977 ; 6.420 ; Rise       ; BUTTON[2]       ;
;  SW[4]    ; BUTTON[2]  ; 4.729 ; 4.945 ; Rise       ; BUTTON[2]       ;
;  SW[5]    ; BUTTON[2]  ; 5.676 ; 6.149 ; Rise       ; BUTTON[2]       ;
;  SW[6]    ; BUTTON[2]  ; 5.637 ; 6.064 ; Rise       ; BUTTON[2]       ;
;  SW[7]    ; BUTTON[2]  ; 5.908 ; 6.343 ; Rise       ; BUTTON[2]       ;
;  SW[8]    ; BUTTON[2]  ; 1.928 ; 2.378 ; Rise       ; BUTTON[2]       ;
+-----------+------------+-------+-------+------------+-----------------+


+-------------------------------------------------------------------------+
; Hold Times                                                              ;
+-----------+------------+--------+--------+------------+-----------------+
; Data Port ; Clock Port ; Rise   ; Fall   ; Clock Edge ; Clock Reference ;
+-----------+------------+--------+--------+------------+-----------------+
; SW[*]     ; BUTTON[2]  ; -1.446 ; -1.868 ; Rise       ; BUTTON[2]       ;
;  SW[0]    ; BUTTON[2]  ; -2.289 ; -2.712 ; Rise       ; BUTTON[2]       ;
;  SW[1]    ; BUTTON[2]  ; -2.063 ; -2.482 ; Rise       ; BUTTON[2]       ;
;  SW[2]    ; BUTTON[2]  ; -1.939 ; -2.374 ; Rise       ; BUTTON[2]       ;
;  SW[3]    ; BUTTON[2]  ; -2.270 ; -2.717 ; Rise       ; BUTTON[2]       ;
;  SW[4]    ; BUTTON[2]  ; -2.114 ; -2.553 ; Rise       ; BUTTON[2]       ;
;  SW[5]    ; BUTTON[2]  ; -2.269 ; -2.738 ; Rise       ; BUTTON[2]       ;
;  SW[6]    ; BUTTON[2]  ; -2.696 ; -3.104 ; Rise       ; BUTTON[2]       ;
;  SW[7]    ; BUTTON[2]  ; -2.985 ; -3.400 ; Rise       ; BUTTON[2]       ;
;  SW[8]    ; BUTTON[2]  ; -1.446 ; -1.868 ; Rise       ; BUTTON[2]       ;
+-----------+------------+--------+--------+------------+-----------------+


+-----------------------------------------------------------------------+
; Clock to Output Times                                                 ;
+-----------+------------+-------+-------+------------+-----------------+
; Data Port ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+-----------+------------+-------+-------+------------+-----------------+
; LEDG[*]   ; BUTTON[2]  ; 7.041 ; 6.783 ; Rise       ; BUTTON[2]       ;
;  LEDG[0]  ; BUTTON[2]  ; 5.174 ; 5.078 ; Rise       ; BUTTON[2]       ;
;  LEDG[1]  ; BUTTON[2]  ; 5.158 ; 5.052 ; Rise       ; BUTTON[2]       ;
;  LEDG[2]  ; BUTTON[2]  ; 7.041 ; 6.783 ; Rise       ; BUTTON[2]       ;
;  LEDG[3]  ; BUTTON[2]  ; 5.184 ; 5.091 ; Rise       ; BUTTON[2]       ;
;  LEDG[4]  ; BUTTON[2]  ; 5.073 ; 4.938 ; Rise       ; BUTTON[2]       ;
;  LEDG[5]  ; BUTTON[2]  ; 5.066 ; 4.931 ; Rise       ; BUTTON[2]       ;
;  LEDG[6]  ; BUTTON[2]  ; 5.354 ; 5.205 ; Rise       ; BUTTON[2]       ;
;  LEDG[7]  ; BUTTON[2]  ; 5.204 ; 5.110 ; Rise       ; BUTTON[2]       ;
+-----------+------------+-------+-------+------------+-----------------+


+-----------------------------------------------------------------------+
; Minimum Clock to Output Times                                         ;
+-----------+------------+-------+-------+------------+-----------------+
; Data Port ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+-----------+------------+-------+-------+------------+-----------------+
; LEDG[*]   ; BUTTON[2]  ; 4.972 ; 4.837 ; Rise       ; BUTTON[2]       ;
;  LEDG[0]  ; BUTTON[2]  ; 5.075 ; 4.977 ; Rise       ; BUTTON[2]       ;
;  LEDG[1]  ; BUTTON[2]  ; 5.059 ; 4.952 ; Rise       ; BUTTON[2]       ;
;  LEDG[2]  ; BUTTON[2]  ; 6.942 ; 6.683 ; Rise       ; BUTTON[2]       ;
;  LEDG[3]  ; BUTTON[2]  ; 5.085 ; 4.991 ; Rise       ; BUTTON[2]       ;
;  LEDG[4]  ; BUTTON[2]  ; 4.978 ; 4.844 ; Rise       ; BUTTON[2]       ;
;  LEDG[5]  ; BUTTON[2]  ; 4.972 ; 4.837 ; Rise       ; BUTTON[2]       ;
;  LEDG[6]  ; BUTTON[2]  ; 5.249 ; 5.100 ; Rise       ; BUTTON[2]       ;
;  LEDG[7]  ; BUTTON[2]  ; 5.105 ; 5.010 ; Rise       ; BUTTON[2]       ;
+-----------+------------+-------+-------+------------+-----------------+


---------------------------------------------
; Slow 1200mV 0C Model Metastability Report ;
---------------------------------------------
No synchronizer chains to report.


+------------------------------------+
; Fast 1200mV 0C Model Setup Summary ;
+-----------+--------+---------------+
; Clock     ; Slack  ; End Point TNS ;
+-----------+--------+---------------+
; BUTTON[2] ; -0.738 ; -5.942        ;
+-----------+--------+---------------+


+-----------------------------------+
; Fast 1200mV 0C Model Hold Summary ;
+-----------+-------+---------------+
; Clock     ; Slack ; End Point TNS ;
+-----------+-------+---------------+
; BUTTON[2] ; 0.201 ; 0.000         ;
+-----------+-------+---------------+


-----------------------------------------
; Fast 1200mV 0C Model Recovery Summary ;
-----------------------------------------
No paths to report.


----------------------------------------
; Fast 1200mV 0C Model Removal Summary ;
----------------------------------------
No paths to report.


+--------------------------------------------------+
; Fast 1200mV 0C Model Minimum Pulse Width Summary ;
+-----------+--------+-----------------------------+
; Clock     ; Slack  ; End Point TNS               ;
+-----------+--------+-----------------------------+
; BUTTON[2] ; -3.000 ; -30.776                     ;
+-----------+--------+-----------------------------+


+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast 1200mV 0C Model Setup: 'BUTTON[2]'                                                                                                                                                                                                      ;
+--------+----------------------------------------------------------------------+----------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack  ; From Node                                                            ; To Node                                                                                ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+----------------------------------------------------------------------+----------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; -0.738 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.721      ;
; -0.701 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.684      ;
; -0.689 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.672      ;
; -0.689 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.672      ;
; -0.686 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.669      ;
; -0.652 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.635      ;
; -0.652 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.635      ;
; -0.651 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.634      ;
; -0.637 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.620      ;
; -0.637 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.620      ;
; -0.603 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.586      ;
; -0.602 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.585      ;
; -0.602 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.585      ;
; -0.558 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.541      ;
; -0.554 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.537      ;
; -0.554 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.537      ;
; -0.521 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.504      ;
; -0.512 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.495      ;
; -0.506 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.489      ;
; -0.498 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.481      ;
; -0.497 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.480      ;
; -0.493 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.476      ;
; -0.475 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.458      ;
; -0.471 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.454      ;
; -0.463 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.446      ;
; -0.461 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.444      ;
; -0.449 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.432      ;
; -0.448 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.431      ;
; -0.448 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.431      ;
; -0.415 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.398      ;
; -0.414 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.397      ;
; -0.406 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.389      ;
; -0.345 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.328      ;
; -0.322 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.305      ;
; -0.318 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.301      ;
; -0.314 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.297      ;
; -0.274 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.257      ;
; -0.273 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.256      ;
; -0.273 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.256      ;
; -0.269 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.252      ;
; -0.269 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.252      ;
; -0.254 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.237      ;
; -0.250 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.233      ;
; -0.246 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.229      ;
; -0.213 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.196      ;
; -0.205 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.188      ;
; -0.205 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.188      ;
; -0.203 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.186      ;
; -0.201 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.184      ;
; -0.201 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.184      ;
; -0.188 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.171      ;
; -0.186 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.169      ;
; -0.182 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.165      ;
; -0.153 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.136      ;
; -0.142 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.125      ;
; -0.138 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.121      ;
; -0.137 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.120      ;
; -0.137 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.120      ;
; -0.134 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.117      ;
; -0.133 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.116      ;
; -0.133 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.116      ;
; -0.123 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.106      ;
; -0.104 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.087      ;
; -0.093 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.076      ;
; -0.092 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.075      ;
; -0.085 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.068      ;
; -0.077 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.060      ;
; -0.074 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.057      ;
; -0.073 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.056      ;
; -0.070 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.053      ;
; -0.069 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.052      ;
; -0.066 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.049      ;
; -0.041 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.024      ;
; -0.029 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.012      ;
; -0.024 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.007      ;
; -0.018 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.001      ;
; -0.017 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 1.000      ;
; -0.016 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 0.999      ;
; 0.002  ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 0.981      ;
; 0.040  ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 0.943      ;
; 0.103  ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 0.880      ;
; 0.103  ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 0.880      ;
; 0.146  ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.104     ; 0.757      ;
; 0.151  ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.104     ; 0.752      ;
; 0.154  ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.104     ; 0.749      ;
; 0.205  ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 0.778      ;
; 0.207  ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 0.776      ;
; 0.212  ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 0.771      ;
; 0.212  ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.040      ; 0.835      ;
; 0.212  ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.040      ; 0.835      ;
; 0.212  ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.040      ; 0.835      ;
; 0.212  ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.040      ; 0.835      ;
; 0.212  ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.040      ; 0.835      ;
; 0.212  ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.040      ; 0.835      ;
; 0.212  ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.040      ; 0.835      ;
; 0.212  ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; 0.040      ; 0.835      ;
; 0.214  ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 0.769      ;
; 0.222  ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 0.761      ;
; 0.369  ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.024     ; 0.614      ;
; 0.466  ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 1.000        ; -0.023     ; 0.518      ;
+--------+----------------------------------------------------------------------+----------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast 1200mV 0C Model Hold: 'BUTTON[2]'                                                                                                                                                                                                      ;
+-------+----------------------------------------------------------------------+----------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack ; From Node                                                            ; To Node                                                                                ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+-------+----------------------------------------------------------------------+----------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; 0.201 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.022      ; 0.307      ;
; 0.201 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.022      ; 0.307      ;
; 0.208 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.022      ; 0.314      ;
; 0.208 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.022      ; 0.314      ;
; 0.303 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.104      ; 0.491      ;
; 0.311 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.023      ; 0.418      ;
; 0.312 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.023      ; 0.419      ;
; 0.312 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.023      ; 0.419      ;
; 0.409 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.537      ;
; 0.500 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.628      ;
; 0.502 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.630      ;
; 0.503 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.631      ;
; 0.518 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.646      ;
; 0.519 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.647      ;
; 0.544 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.672      ;
; 0.545 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.673      ;
; 0.548 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.676      ;
; 0.550 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.678      ;
; 0.551 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.679      ;
; 0.567 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.695      ;
; 0.569 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.697      ;
; 0.579 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.707      ;
; 0.583 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.711      ;
; 0.587 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.715      ;
; 0.592 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; -0.030     ; 0.646      ;
; 0.596 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; -0.030     ; 0.650      ;
; 0.597 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; -0.030     ; 0.651      ;
; 0.624 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.114      ; 0.822      ;
; 0.624 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.114      ; 0.822      ;
; 0.624 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.114      ; 0.822      ;
; 0.624 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.114      ; 0.822      ;
; 0.624 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.114      ; 0.822      ;
; 0.624 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.114      ; 0.822      ;
; 0.624 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.114      ; 0.822      ;
; 0.624 ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.114      ; 0.822      ;
; 0.650 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.778      ;
; 0.651 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.779      ;
; 0.659 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.787      ;
; 0.662 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.790      ;
; 0.677 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.805      ;
; 0.678 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.806      ;
; 0.680 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.808      ;
; 0.713 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.841      ;
; 0.714 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.842      ;
; 0.716 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.844      ;
; 0.725 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.853      ;
; 0.727 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.855      ;
; 0.728 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.856      ;
; 0.732 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 0.840      ;
; 0.736 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.864      ;
; 0.739 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.867      ;
; 0.743 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.871      ;
; 0.779 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.907      ;
; 0.781 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 0.889      ;
; 0.790 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.918      ;
; 0.791 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.919      ;
; 0.793 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 0.901      ;
; 0.793 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.921      ;
; 0.802 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.930      ;
; 0.805 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.933      ;
; 0.814 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 0.922      ;
; 0.815 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 0.923      ;
; 0.828 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 0.936      ;
; 0.856 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.984      ;
; 0.858 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 0.966      ;
; 0.859 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.987      ;
; 0.868 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.996      ;
; 0.871 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 0.999      ;
; 0.910 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.018      ;
; 0.912 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.020      ;
; 0.922 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 1.050      ;
; 0.926 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.034      ;
; 0.933 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.041      ;
; 0.934 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.044      ; 1.062      ;
; 0.951 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.059      ;
; 0.960 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.068      ;
; 0.972 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.080      ;
; 0.974 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.082      ;
; 0.983 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.091      ;
; 1.000 ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.108      ;
; 1.001 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.109      ;
; 1.037 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.145      ;
; 1.041 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.149      ;
; 1.053 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.161      ;
; 1.065 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.173      ;
; 1.066 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.174      ;
; 1.069 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.177      ;
; 1.083 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.191      ;
; 1.094 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.202      ;
; 1.095 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.203      ;
; 1.100 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.208      ;
; 1.102 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.210      ;
; 1.114 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.222      ;
; 1.130 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.238      ;
; 1.136 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.244      ;
; 1.142 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.250      ;
; 1.148 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.256      ;
; 1.200 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.308      ;
; 1.212 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.320      ;
; 1.226 ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1] ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ; BUTTON[2]    ; BUTTON[2]   ; 0.000        ; 0.024      ; 1.334      ;
+-------+----------------------------------------------------------------------+----------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast 1200mV 0C Model Minimum Pulse Width: 'BUTTON[2]'                                                                                                                       ;
+--------+--------------+----------------+------------------+-----------+------------+----------------------------------------------------------------------------------------+
; Slack  ; Actual Width ; Required Width ; Type             ; Clock     ; Clock Edge ; Target                                                                                 ;
+--------+--------------+----------------+------------------+-----------+------------+----------------------------------------------------------------------------------------+
; -3.000 ; 1.000        ; 4.000          ; Port Rate        ; BUTTON[2] ; Rise       ; BUTTON[2]                                                                              ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2]                   ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ;
; -1.000 ; 1.000        ; 2.000          ; Min Period       ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ;
; -0.289 ; -0.105       ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ;
; -0.289 ; -0.105       ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ;
; -0.289 ; -0.105       ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ;
; -0.289 ; -0.105       ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ;
; -0.289 ; -0.105       ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ;
; -0.289 ; -0.105       ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ;
; -0.289 ; -0.105       ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ;
; -0.289 ; -0.105       ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ;
; -0.279 ; -0.095       ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0]                   ;
; -0.279 ; -0.095       ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ;
; -0.279 ; -0.095       ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ;
; -0.279 ; -0.095       ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ;
; -0.279 ; -0.095       ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ;
; -0.279 ; -0.095       ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ;
; -0.279 ; -0.095       ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ;
; -0.279 ; -0.095       ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ;
; -0.279 ; -0.095       ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi                   ;
; -0.277 ; -0.093       ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0]                   ;
; -0.277 ; -0.093       ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1]                   ;
; -0.277 ; -0.093       ; 0.184          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2]                   ;
; -0.109 ; -0.109       ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0]|clk                          ;
; -0.109 ; -0.109       ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1]|clk                          ;
; -0.109 ; -0.109       ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2]|clk                          ;
; -0.109 ; -0.109       ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3]|clk                          ;
; -0.109 ; -0.109       ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4]|clk                          ;
; -0.109 ; -0.109       ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5]|clk                          ;
; -0.109 ; -0.109       ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6]|clk                          ;
; -0.109 ; -0.109       ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7]|clk                          ;
; -0.100 ; -0.100       ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[0]|clk                                            ;
; -0.100 ; -0.100       ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[1]|clk                                            ;
; -0.100 ; -0.100       ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[2]|clk                                            ;
; -0.100 ; -0.100       ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[3]|clk                                            ;
; -0.100 ; -0.100       ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[4]|clk                                            ;
; -0.100 ; -0.100       ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[5]|clk                                            ;
; -0.100 ; -0.100       ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[6]|clk                                            ;
; -0.100 ; -0.100       ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[7]|clk                                            ;
; -0.100 ; -0.100       ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|exit_MAC_lpi|clk                                            ;
; -0.097 ; -0.097       ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|i_1_sva_1[0]|clk                                            ;
; -0.097 ; -0.097       ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|i_1_sva_1[1]|clk                                            ;
; -0.097 ; -0.097       ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|i_1_sva_1[2]|clk                                            ;
; -0.059 ; -0.059       ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; BUTTON[2]~input|o                                                                      ;
; 0.500  ; 0.500        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; BUTTON[2]~input|i                                                                      ;
; 0.500  ; 0.500        ; 0.000          ; Low Pulse Width  ; BUTTON[2] ; Rise       ; BUTTON[2]~input|i                                                                      ;
; 0.874  ; 1.090        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[0]                   ;
; 0.874  ; 1.090        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[1]                   ;
; 0.874  ; 1.090        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|i_1_sva_1[2]                   ;
; 0.878  ; 1.094        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[0]                   ;
; 0.878  ; 1.094        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[1]                   ;
; 0.878  ; 1.094        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[2]                   ;
; 0.878  ; 1.094        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[3]                   ;
; 0.878  ; 1.094        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[4]                   ;
; 0.878  ; 1.094        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[5]                   ;
; 0.878  ; 1.094        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[6]                   ;
; 0.878  ; 1.094        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|acc_sva_1[7]                   ;
; 0.878  ; 1.094        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|exit_MAC_lpi                   ;
; 0.887  ; 1.103        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0] ;
; 0.887  ; 1.103        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1] ;
; 0.887  ; 1.103        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2] ;
; 0.887  ; 1.103        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[3] ;
; 0.887  ; 1.103        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[4] ;
; 0.887  ; 1.103        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[5] ;
; 0.887  ; 1.103        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[6] ;
; 0.887  ; 1.103        ; 0.216          ; High Pulse Width ; BUTTON[2] ; Rise       ; dot_product:inst|dot_product_core:dot_product_core_inst|output_rsc_mgc_out_stdreg_d[7] ;
; 1.059  ; 1.059        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; BUTTON[2]~input|o                                                                      ;
; 1.096  ; 1.096        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|i_1_sva_1[0]|clk                                            ;
; 1.096  ; 1.096        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|i_1_sva_1[1]|clk                                            ;
; 1.096  ; 1.096        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|i_1_sva_1[2]|clk                                            ;
; 1.099  ; 1.099        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[0]|clk                                            ;
; 1.099  ; 1.099        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[1]|clk                                            ;
; 1.099  ; 1.099        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[2]|clk                                            ;
; 1.099  ; 1.099        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[3]|clk                                            ;
; 1.099  ; 1.099        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[4]|clk                                            ;
; 1.099  ; 1.099        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[5]|clk                                            ;
; 1.099  ; 1.099        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[6]|clk                                            ;
; 1.099  ; 1.099        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|acc_sva_1[7]|clk                                            ;
; 1.099  ; 1.099        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|exit_MAC_lpi|clk                                            ;
; 1.109  ; 1.109        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[0]|clk                          ;
; 1.109  ; 1.109        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[1]|clk                          ;
; 1.109  ; 1.109        ; 0.000          ; High Pulse Width ; BUTTON[2] ; Rise       ; inst|dot_product_core_inst|output_rsc_mgc_out_stdreg_d[2]|clk                          ;
+--------+--------------+----------------+------------------+-----------+------------+----------------------------------------------------------------------------------------+


+-----------------------------------------------------------------------+
; Setup Times                                                           ;
+-----------+------------+-------+-------+------------+-----------------+
; Data Port ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+-----------+------------+-------+-------+------------+-----------------+
; SW[*]     ; BUTTON[2]  ; 4.122 ; 4.893 ; Rise       ; BUTTON[2]       ;
;  SW[0]    ; BUTTON[2]  ; 3.743 ; 4.504 ; Rise       ; BUTTON[2]       ;
;  SW[1]    ; BUTTON[2]  ; 4.122 ; 4.893 ; Rise       ; BUTTON[2]       ;
;  SW[2]    ; BUTTON[2]  ; 3.725 ; 4.461 ; Rise       ; BUTTON[2]       ;
;  SW[3]    ; BUTTON[2]  ; 3.792 ; 4.558 ; Rise       ; BUTTON[2]       ;
;  SW[4]    ; BUTTON[2]  ; 3.048 ; 3.688 ; Rise       ; BUTTON[2]       ;
;  SW[5]    ; BUTTON[2]  ; 3.644 ; 4.398 ; Rise       ; BUTTON[2]       ;
;  SW[6]    ; BUTTON[2]  ; 3.620 ; 4.339 ; Rise       ; BUTTON[2]       ;
;  SW[7]    ; BUTTON[2]  ; 3.789 ; 4.549 ; Rise       ; BUTTON[2]       ;
;  SW[8]    ; BUTTON[2]  ; 1.343 ; 2.081 ; Rise       ; BUTTON[2]       ;
+-----------+------------+-------+-------+------------+-----------------+


+-------------------------------------------------------------------------+
; Hold Times                                                              ;
+-----------+------------+--------+--------+------------+-----------------+
; Data Port ; Clock Port ; Rise   ; Fall   ; Clock Edge ; Clock Reference ;
+-----------+------------+--------+--------+------------+-----------------+
; SW[*]     ; BUTTON[2]  ; -1.061 ; -1.781 ; Rise       ; BUTTON[2]       ;
;  SW[0]    ; BUTTON[2]  ; -1.523 ; -2.286 ; Rise       ; BUTTON[2]       ;
;  SW[1]    ; BUTTON[2]  ; -1.397 ; -2.165 ; Rise       ; BUTTON[2]       ;
;  SW[2]    ; BUTTON[2]  ; -1.312 ; -2.023 ; Rise       ; BUTTON[2]       ;
;  SW[3]    ; BUTTON[2]  ; -1.522 ; -2.270 ; Rise       ; BUTTON[2]       ;
;  SW[4]    ; BUTTON[2]  ; -1.419 ; -2.164 ; Rise       ; BUTTON[2]       ;
;  SW[5]    ; BUTTON[2]  ; -1.533 ; -2.286 ; Rise       ; BUTTON[2]       ;
;  SW[6]    ; BUTTON[2]  ; -1.773 ; -2.460 ; Rise       ; BUTTON[2]       ;
;  SW[7]    ; BUTTON[2]  ; -1.939 ; -2.702 ; Rise       ; BUTTON[2]       ;
;  SW[8]    ; BUTTON[2]  ; -1.061 ; -1.781 ; Rise       ; BUTTON[2]       ;
+-----------+------------+--------+--------+------------+-----------------+


+-----------------------------------------------------------------------+
; Clock to Output Times                                                 ;
+-----------+------------+-------+-------+------------+-----------------+
; Data Port ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+-----------+------------+-------+-------+------------+-----------------+
; LEDG[*]   ; BUTTON[2]  ; 4.612 ; 4.409 ; Rise       ; BUTTON[2]       ;
;  LEDG[0]  ; BUTTON[2]  ; 3.158 ; 3.141 ; Rise       ; BUTTON[2]       ;
;  LEDG[1]  ; BUTTON[2]  ; 3.145 ; 3.122 ; Rise       ; BUTTON[2]       ;
;  LEDG[2]  ; BUTTON[2]  ; 4.612 ; 4.409 ; Rise       ; BUTTON[2]       ;
;  LEDG[3]  ; BUTTON[2]  ; 3.170 ; 3.153 ; Rise       ; BUTTON[2]       ;
;  LEDG[4]  ; BUTTON[2]  ; 3.070 ; 3.040 ; Rise       ; BUTTON[2]       ;
;  LEDG[5]  ; BUTTON[2]  ; 3.065 ; 3.034 ; Rise       ; BUTTON[2]       ;
;  LEDG[6]  ; BUTTON[2]  ; 3.243 ; 3.239 ; Rise       ; BUTTON[2]       ;
;  LEDG[7]  ; BUTTON[2]  ; 3.186 ; 3.172 ; Rise       ; BUTTON[2]       ;
+-----------+------------+-------+-------+------------+-----------------+


+-----------------------------------------------------------------------+
; Minimum Clock to Output Times                                         ;
+-----------+------------+-------+-------+------------+-----------------+
; Data Port ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+-----------+------------+-------+-------+------------+-----------------+
; LEDG[*]   ; BUTTON[2]  ; 3.006 ; 2.974 ; Rise       ; BUTTON[2]       ;
;  LEDG[0]  ; BUTTON[2]  ; 3.095 ; 3.075 ; Rise       ; BUTTON[2]       ;
;  LEDG[1]  ; BUTTON[2]  ; 3.083 ; 3.058 ; Rise       ; BUTTON[2]       ;
;  LEDG[2]  ; BUTTON[2]  ; 4.550 ; 4.344 ; Rise       ; BUTTON[2]       ;
;  LEDG[3]  ; BUTTON[2]  ; 3.107 ; 3.088 ; Rise       ; BUTTON[2]       ;
;  LEDG[4]  ; BUTTON[2]  ; 3.011 ; 2.979 ; Rise       ; BUTTON[2]       ;
;  LEDG[5]  ; BUTTON[2]  ; 3.006 ; 2.974 ; Rise       ; BUTTON[2]       ;
;  LEDG[6]  ; BUTTON[2]  ; 3.178 ; 3.171 ; Rise       ; BUTTON[2]       ;
;  LEDG[7]  ; BUTTON[2]  ; 3.124 ; 3.107 ; Rise       ; BUTTON[2]       ;
+-----------+------------+-------+-------+------------+-----------------+


---------------------------------------------
; Fast 1200mV 0C Model Metastability Report ;
---------------------------------------------
No synchronizer chains to report.


+-------------------------------------------------------------------------------+
; Multicorner Timing Analysis Summary                                           ;
+------------------+---------+-------+----------+---------+---------------------+
; Clock            ; Setup   ; Hold  ; Recovery ; Removal ; Minimum Pulse Width ;
+------------------+---------+-------+----------+---------+---------------------+
; Worst-case Slack ; -2.141  ; 0.201 ; N/A      ; N/A     ; -3.000              ;
;  BUTTON[2]       ; -2.141  ; 0.201 ; N/A      ; N/A     ; -3.000              ;
; Design-wide TNS  ; -24.967 ; 0.0   ; 0.0      ; 0.0     ; -30.776             ;
;  BUTTON[2]       ; -24.967 ; 0.000 ; N/A      ; N/A     ; -30.776             ;
+------------------+---------+-------+----------+---------+---------------------+


+-----------------------------------------------------------------------+
; Setup Times                                                           ;
+-----------+------------+-------+-------+------------+-----------------+
; Data Port ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+-----------+------------+-------+-------+------------+-----------------+
; SW[*]     ; BUTTON[2]  ; 7.383 ; 7.891 ; Rise       ; BUTTON[2]       ;
;  SW[0]    ; BUTTON[2]  ; 6.704 ; 7.200 ; Rise       ; BUTTON[2]       ;
;  SW[1]    ; BUTTON[2]  ; 7.383 ; 7.891 ; Rise       ; BUTTON[2]       ;
;  SW[2]    ; BUTTON[2]  ; 6.674 ; 7.197 ; Rise       ; BUTTON[2]       ;
;  SW[3]    ; BUTTON[2]  ; 6.824 ; 7.333 ; Rise       ; BUTTON[2]       ;
;  SW[4]    ; BUTTON[2]  ; 5.408 ; 5.661 ; Rise       ; BUTTON[2]       ;
;  SW[5]    ; BUTTON[2]  ; 6.484 ; 7.044 ; Rise       ; BUTTON[2]       ;
;  SW[6]    ; BUTTON[2]  ; 6.452 ; 6.945 ; Rise       ; BUTTON[2]       ;
;  SW[7]    ; BUTTON[2]  ; 6.754 ; 7.259 ; Rise       ; BUTTON[2]       ;
;  SW[8]    ; BUTTON[2]  ; 2.293 ; 2.791 ; Rise       ; BUTTON[2]       ;
+-----------+------------+-------+-------+------------+-----------------+


+-------------------------------------------------------------------------+
; Hold Times                                                              ;
+-----------+------------+--------+--------+------------+-----------------+
; Data Port ; Clock Port ; Rise   ; Fall   ; Clock Edge ; Clock Reference ;
+-----------+------------+--------+--------+------------+-----------------+
; SW[*]     ; BUTTON[2]  ; -1.061 ; -1.781 ; Rise       ; BUTTON[2]       ;
;  SW[0]    ; BUTTON[2]  ; -1.523 ; -2.286 ; Rise       ; BUTTON[2]       ;
;  SW[1]    ; BUTTON[2]  ; -1.397 ; -2.165 ; Rise       ; BUTTON[2]       ;
;  SW[2]    ; BUTTON[2]  ; -1.312 ; -2.023 ; Rise       ; BUTTON[2]       ;
;  SW[3]    ; BUTTON[2]  ; -1.522 ; -2.270 ; Rise       ; BUTTON[2]       ;
;  SW[4]    ; BUTTON[2]  ; -1.419 ; -2.164 ; Rise       ; BUTTON[2]       ;
;  SW[5]    ; BUTTON[2]  ; -1.533 ; -2.286 ; Rise       ; BUTTON[2]       ;
;  SW[6]    ; BUTTON[2]  ; -1.773 ; -2.460 ; Rise       ; BUTTON[2]       ;
;  SW[7]    ; BUTTON[2]  ; -1.939 ; -2.702 ; Rise       ; BUTTON[2]       ;
;  SW[8]    ; BUTTON[2]  ; -1.061 ; -1.781 ; Rise       ; BUTTON[2]       ;
+-----------+------------+--------+--------+------------+-----------------+


+-----------------------------------------------------------------------+
; Clock to Output Times                                                 ;
+-----------+------------+-------+-------+------------+-----------------+
; Data Port ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+-----------+------------+-------+-------+------------+-----------------+
; LEDG[*]   ; BUTTON[2]  ; 7.240 ; 7.014 ; Rise       ; BUTTON[2]       ;
;  LEDG[0]  ; BUTTON[2]  ; 5.373 ; 5.305 ; Rise       ; BUTTON[2]       ;
;  LEDG[1]  ; BUTTON[2]  ; 5.355 ; 5.282 ; Rise       ; BUTTON[2]       ;
;  LEDG[2]  ; BUTTON[2]  ; 7.240 ; 7.014 ; Rise       ; BUTTON[2]       ;
;  LEDG[3]  ; BUTTON[2]  ; 5.384 ; 5.320 ; Rise       ; BUTTON[2]       ;
;  LEDG[4]  ; BUTTON[2]  ; 5.247 ; 5.143 ; Rise       ; BUTTON[2]       ;
;  LEDG[5]  ; BUTTON[2]  ; 5.240 ; 5.135 ; Rise       ; BUTTON[2]       ;
;  LEDG[6]  ; BUTTON[2]  ; 5.552 ; 5.449 ; Rise       ; BUTTON[2]       ;
;  LEDG[7]  ; BUTTON[2]  ; 5.406 ; 5.338 ; Rise       ; BUTTON[2]       ;
+-----------+------------+-------+-------+------------+-----------------+


+-----------------------------------------------------------------------+
; Minimum Clock to Output Times                                         ;
+-----------+------------+-------+-------+------------+-----------------+
; Data Port ; Clock Port ; Rise  ; Fall  ; Clock Edge ; Clock Reference ;
+-----------+------------+-------+-------+------------+-----------------+
; LEDG[*]   ; BUTTON[2]  ; 3.006 ; 2.974 ; Rise       ; BUTTON[2]       ;
;  LEDG[0]  ; BUTTON[2]  ; 3.095 ; 3.075 ; Rise       ; BUTTON[2]       ;
;  LEDG[1]  ; BUTTON[2]  ; 3.083 ; 3.058 ; Rise       ; BUTTON[2]       ;
;  LEDG[2]  ; BUTTON[2]  ; 4.550 ; 4.344 ; Rise       ; BUTTON[2]       ;
;  LEDG[3]  ; BUTTON[2]  ; 3.107 ; 3.088 ; Rise       ; BUTTON[2]       ;
;  LEDG[4]  ; BUTTON[2]  ; 3.011 ; 2.979 ; Rise       ; BUTTON[2]       ;
;  LEDG[5]  ; BUTTON[2]  ; 3.006 ; 2.974 ; Rise       ; BUTTON[2]       ;
;  LEDG[6]  ; BUTTON[2]  ; 3.178 ; 3.171 ; Rise       ; BUTTON[2]       ;
;  LEDG[7]  ; BUTTON[2]  ; 3.124 ; 3.107 ; Rise       ; BUTTON[2]       ;
+-----------+------------+-------+-------+------------+-----------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Board Trace Model Assignments                                                                                                                                                                                                                                                                                                                                                                                    ;
+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
; Pin           ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ;
+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
; VGA_CLK       ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; VGA_SYNC      ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; VGA_BLANK     ; 2.5 V        ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; VGA_VS        ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; VGA_HS        ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; HEX0_D[6]     ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; HEX0_D[5]     ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; HEX0_D[4]     ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; HEX0_D[3]     ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; HEX0_D[2]     ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; HEX0_D[1]     ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; HEX0_D[0]     ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; LEDG[9]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; LEDG[8]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; LEDG[7]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; LEDG[6]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; LEDG[5]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; LEDG[4]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; LEDG[3]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; LEDG[2]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; LEDG[1]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; LEDG[0]       ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; VGA_B[3]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; VGA_B[2]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; VGA_B[1]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; VGA_B[0]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; VGA_G[3]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; VGA_G[2]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; VGA_G[1]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; VGA_G[0]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; VGA_R[3]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; VGA_R[2]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; VGA_R[1]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; VGA_R[0]      ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
; ~ALTERA_DCLK~ ; 3.3-V LVTTL  ; 0 in              ; 0 H/in                  ; 0 F/in                  ; short         ; -                   ; open           ; open             ; open   ; 0 in             ; 0 H/in                 ; 0 F/in                 ; short        ; open          ; open            ; open  ; 0 V                 ; -                  ; n/a           ; n/a             ; n/a         ;
+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+


+----------------------------------------------------------------------------+
; Input Transition Times                                                     ;
+-------------------------+--------------+-----------------+-----------------+
; Pin                     ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ;
+-------------------------+--------------+-----------------+-----------------+
; BUTTON[1]               ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
; BUTTON[0]               ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
; PS2_MSDAT               ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
; PS2_MSCLK               ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
; CLOCK_50                ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
; CLOCK_50_2              ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
; SW[3]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
; SW[2]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
; SW[1]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
; BUTTON[2]               ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
; SW[9]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
; SW[8]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
; SW[7]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
; SW[6]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
; SW[5]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
; SW[0]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
; SW[4]                   ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
; ~ALTERA_ASDO_DATA1~     ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
; ~ALTERA_FLASH_nCE_nCSO~ ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
; ~ALTERA_DATA0~          ; 3.3-V LVTTL  ; 2640 ps         ; 2640 ps         ;
+-------------------------+--------------+-----------------+-----------------+


+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Slow Corner Signal Integrity Metrics                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                       ;
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
; Pin           ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
; VGA_CLK       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.08e-06 V                   ; 2.36 V              ; -0.0113 V           ; 0.122 V                              ; 0.022 V                              ; 4.5e-10 s                   ; 4.45e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.08e-06 V                  ; 2.36 V             ; -0.0113 V          ; 0.122 V                             ; 0.022 V                             ; 4.5e-10 s                  ; 4.45e-10 s                 ; Yes                       ; Yes                       ;
; VGA_SYNC      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.08e-06 V                   ; 2.33 V              ; -0.00341 V          ; 0.17 V                               ; 0.084 V                              ; 3.33e-09 s                  ; 3.24e-09 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.08e-06 V                  ; 2.33 V             ; -0.00341 V         ; 0.17 V                              ; 0.084 V                             ; 3.33e-09 s                 ; 3.24e-09 s                 ; Yes                       ; Yes                       ;
; VGA_BLANK     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.32 V                       ; 1.08e-06 V                   ; 2.36 V              ; -0.0113 V           ; 0.122 V                              ; 0.022 V                              ; 4.5e-10 s                   ; 4.45e-10 s                  ; Yes                        ; Yes                        ; 2.32 V                      ; 1.08e-06 V                  ; 2.36 V             ; -0.0113 V          ; 0.122 V                             ; 0.022 V                             ; 4.5e-10 s                  ; 4.45e-10 s                 ; Yes                       ; Yes                       ;
; VGA_VS        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 2.06e-06 V                   ; 3.12 V              ; -0.0308 V           ; 0.224 V                              ; 0.218 V                              ; 1.32e-09 s                  ; 1.07e-09 s                  ; No                         ; Yes                        ; 3.08 V                      ; 2.06e-06 V                  ; 3.12 V             ; -0.0308 V          ; 0.224 V                             ; 0.218 V                             ; 1.32e-09 s                 ; 1.07e-09 s                 ; No                        ; Yes                       ;
; VGA_HS        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 2.06e-06 V                   ; 3.12 V              ; -0.0308 V           ; 0.224 V                              ; 0.218 V                              ; 1.32e-09 s                  ; 1.07e-09 s                  ; No                         ; Yes                        ; 3.08 V                      ; 2.06e-06 V                  ; 3.12 V             ; -0.0308 V          ; 0.224 V                             ; 0.218 V                             ; 1.32e-09 s                 ; 1.07e-09 s                 ; No                        ; Yes                       ;
; HEX0_D[6]     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 3.08e-06 V                   ; 3.13 V              ; -0.0523 V           ; 0.237 V                              ; 0.168 V                              ; 6.67e-10 s                  ; 6.11e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 3.08e-06 V                  ; 3.13 V             ; -0.0523 V          ; 0.237 V                             ; 0.168 V                             ; 6.67e-10 s                 ; 6.11e-10 s                 ; No                        ; No                        ;
; HEX0_D[5]     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 3.08e-06 V                   ; 3.13 V              ; -0.0523 V           ; 0.237 V                              ; 0.168 V                              ; 6.67e-10 s                  ; 6.11e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 3.08e-06 V                  ; 3.13 V             ; -0.0523 V          ; 0.237 V                             ; 0.168 V                             ; 6.67e-10 s                 ; 6.11e-10 s                 ; No                        ; No                        ;
; HEX0_D[4]     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 3.08e-06 V                   ; 3.13 V              ; -0.0523 V           ; 0.237 V                              ; 0.168 V                              ; 6.67e-10 s                  ; 6.11e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 3.08e-06 V                  ; 3.13 V             ; -0.0523 V          ; 0.237 V                             ; 0.168 V                             ; 6.67e-10 s                 ; 6.11e-10 s                 ; No                        ; No                        ;
; HEX0_D[3]     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 3.08e-06 V                   ; 3.13 V              ; -0.0523 V           ; 0.237 V                              ; 0.168 V                              ; 6.67e-10 s                  ; 6.11e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 3.08e-06 V                  ; 3.13 V             ; -0.0523 V          ; 0.237 V                             ; 0.168 V                             ; 6.67e-10 s                 ; 6.11e-10 s                 ; No                        ; No                        ;
; HEX0_D[2]     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 3.08e-06 V                   ; 3.13 V              ; -0.0523 V           ; 0.237 V                              ; 0.168 V                              ; 6.67e-10 s                  ; 6.11e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 3.08e-06 V                  ; 3.13 V             ; -0.0523 V          ; 0.237 V                             ; 0.168 V                             ; 6.67e-10 s                 ; 6.11e-10 s                 ; No                        ; No                        ;
; HEX0_D[1]     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 3.08e-06 V                   ; 3.13 V              ; -0.0523 V           ; 0.237 V                              ; 0.168 V                              ; 6.67e-10 s                  ; 6.11e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 3.08e-06 V                  ; 3.13 V             ; -0.0523 V          ; 0.237 V                             ; 0.168 V                             ; 6.67e-10 s                 ; 6.11e-10 s                 ; No                        ; No                        ;
; HEX0_D[0]     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 3.08e-06 V                   ; 3.13 V              ; -0.0523 V           ; 0.237 V                              ; 0.168 V                              ; 6.67e-10 s                  ; 6.11e-10 s                  ; No                         ; No                         ; 3.08 V                      ; 3.08e-06 V                  ; 3.13 V             ; -0.0523 V          ; 0.237 V                             ; 0.168 V                             ; 6.67e-10 s                 ; 6.11e-10 s                 ; No                        ; No                        ;
; LEDG[9]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 2.06e-06 V                   ; 3.12 V              ; -0.0308 V           ; 0.224 V                              ; 0.218 V                              ; 1.32e-09 s                  ; 1.07e-09 s                  ; No                         ; Yes                        ; 3.08 V                      ; 2.06e-06 V                  ; 3.12 V             ; -0.0308 V          ; 0.224 V                             ; 0.218 V                             ; 1.32e-09 s                 ; 1.07e-09 s                 ; No                        ; Yes                       ;
; LEDG[8]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 2.06e-06 V                   ; 3.12 V              ; -0.0308 V           ; 0.224 V                              ; 0.218 V                              ; 1.32e-09 s                  ; 1.07e-09 s                  ; No                         ; Yes                        ; 3.08 V                      ; 2.06e-06 V                  ; 3.12 V             ; -0.0308 V          ; 0.224 V                             ; 0.218 V                             ; 1.32e-09 s                 ; 1.07e-09 s                 ; No                        ; Yes                       ;
; LEDG[7]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 2.06e-06 V                   ; 3.12 V              ; -0.0308 V           ; 0.224 V                              ; 0.218 V                              ; 1.32e-09 s                  ; 1.07e-09 s                  ; No                         ; Yes                        ; 3.08 V                      ; 2.06e-06 V                  ; 3.12 V             ; -0.0308 V          ; 0.224 V                             ; 0.218 V                             ; 1.32e-09 s                 ; 1.07e-09 s                 ; No                        ; Yes                       ;
; LEDG[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 2.06e-06 V                   ; 3.12 V              ; -0.0308 V           ; 0.224 V                              ; 0.218 V                              ; 1.32e-09 s                  ; 1.07e-09 s                  ; No                         ; Yes                        ; 3.08 V                      ; 2.06e-06 V                  ; 3.12 V             ; -0.0308 V          ; 0.224 V                             ; 0.218 V                             ; 1.32e-09 s                 ; 1.07e-09 s                 ; No                        ; Yes                       ;
; LEDG[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 2.06e-06 V                   ; 3.12 V              ; -0.0308 V           ; 0.224 V                              ; 0.218 V                              ; 1.32e-09 s                  ; 1.07e-09 s                  ; No                         ; Yes                        ; 3.08 V                      ; 2.06e-06 V                  ; 3.12 V             ; -0.0308 V          ; 0.224 V                             ; 0.218 V                             ; 1.32e-09 s                 ; 1.07e-09 s                 ; No                        ; Yes                       ;
; LEDG[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 2.06e-06 V                   ; 3.12 V              ; -0.0308 V           ; 0.224 V                              ; 0.218 V                              ; 1.32e-09 s                  ; 1.07e-09 s                  ; No                         ; Yes                        ; 3.08 V                      ; 2.06e-06 V                  ; 3.12 V             ; -0.0308 V          ; 0.224 V                             ; 0.218 V                             ; 1.32e-09 s                 ; 1.07e-09 s                 ; No                        ; Yes                       ;
; LEDG[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 2.06e-06 V                   ; 3.12 V              ; -0.0308 V           ; 0.224 V                              ; 0.218 V                              ; 1.32e-09 s                  ; 1.07e-09 s                  ; No                         ; Yes                        ; 3.08 V                      ; 2.06e-06 V                  ; 3.12 V             ; -0.0308 V          ; 0.224 V                             ; 0.218 V                             ; 1.32e-09 s                 ; 1.07e-09 s                 ; No                        ; Yes                       ;
; LEDG[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 2.06e-06 V                   ; 3.08 V              ; -0.00513 V          ; 0.274 V                              ; 0.267 V                              ; 5.67e-09 s                  ; 4.62e-09 s                  ; No                         ; Yes                        ; 3.08 V                      ; 2.06e-06 V                  ; 3.08 V             ; -0.00513 V         ; 0.274 V                             ; 0.267 V                             ; 5.67e-09 s                 ; 4.62e-09 s                 ; No                        ; Yes                       ;
; LEDG[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 2.06e-06 V                   ; 3.12 V              ; -0.0308 V           ; 0.224 V                              ; 0.218 V                              ; 1.32e-09 s                  ; 1.07e-09 s                  ; No                         ; Yes                        ; 3.08 V                      ; 2.06e-06 V                  ; 3.12 V             ; -0.0308 V          ; 0.224 V                             ; 0.218 V                             ; 1.32e-09 s                 ; 1.07e-09 s                 ; No                        ; Yes                       ;
; LEDG[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 2.06e-06 V                   ; 3.12 V              ; -0.0308 V           ; 0.224 V                              ; 0.218 V                              ; 1.32e-09 s                  ; 1.07e-09 s                  ; No                         ; Yes                        ; 3.08 V                      ; 2.06e-06 V                  ; 3.12 V             ; -0.0308 V          ; 0.224 V                             ; 0.218 V                             ; 1.32e-09 s                 ; 1.07e-09 s                 ; No                        ; Yes                       ;
; VGA_B[3]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 2.06e-06 V                   ; 3.12 V              ; -0.0308 V           ; 0.224 V                              ; 0.218 V                              ; 1.32e-09 s                  ; 1.07e-09 s                  ; No                         ; Yes                        ; 3.08 V                      ; 2.06e-06 V                  ; 3.12 V             ; -0.0308 V          ; 0.224 V                             ; 0.218 V                             ; 1.32e-09 s                 ; 1.07e-09 s                 ; No                        ; Yes                       ;
; VGA_B[2]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 2.06e-06 V                   ; 3.12 V              ; -0.0308 V           ; 0.224 V                              ; 0.218 V                              ; 1.32e-09 s                  ; 1.07e-09 s                  ; No                         ; Yes                        ; 3.08 V                      ; 2.06e-06 V                  ; 3.12 V             ; -0.0308 V          ; 0.224 V                             ; 0.218 V                             ; 1.32e-09 s                 ; 1.07e-09 s                 ; No                        ; Yes                       ;
; VGA_B[1]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 2.06e-06 V                   ; 3.12 V              ; -0.0308 V           ; 0.224 V                              ; 0.218 V                              ; 1.32e-09 s                  ; 1.07e-09 s                  ; No                         ; Yes                        ; 3.08 V                      ; 2.06e-06 V                  ; 3.12 V             ; -0.0308 V          ; 0.224 V                             ; 0.218 V                             ; 1.32e-09 s                 ; 1.07e-09 s                 ; No                        ; Yes                       ;
; VGA_B[0]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 2.06e-06 V                   ; 3.12 V              ; -0.0308 V           ; 0.224 V                              ; 0.218 V                              ; 1.32e-09 s                  ; 1.07e-09 s                  ; No                         ; Yes                        ; 3.08 V                      ; 2.06e-06 V                  ; 3.12 V             ; -0.0308 V          ; 0.224 V                             ; 0.218 V                             ; 1.32e-09 s                 ; 1.07e-09 s                 ; No                        ; Yes                       ;
; VGA_G[3]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 2.06e-06 V                   ; 3.12 V              ; -0.0308 V           ; 0.224 V                              ; 0.218 V                              ; 1.32e-09 s                  ; 1.07e-09 s                  ; No                         ; Yes                        ; 3.08 V                      ; 2.06e-06 V                  ; 3.12 V             ; -0.0308 V          ; 0.224 V                             ; 0.218 V                             ; 1.32e-09 s                 ; 1.07e-09 s                 ; No                        ; Yes                       ;
; VGA_G[2]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 2.06e-06 V                   ; 3.12 V              ; -0.0308 V           ; 0.224 V                              ; 0.218 V                              ; 1.32e-09 s                  ; 1.07e-09 s                  ; No                         ; Yes                        ; 3.08 V                      ; 2.06e-06 V                  ; 3.12 V             ; -0.0308 V          ; 0.224 V                             ; 0.218 V                             ; 1.32e-09 s                 ; 1.07e-09 s                 ; No                        ; Yes                       ;
; VGA_G[1]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 2.06e-06 V                   ; 3.12 V              ; -0.0308 V           ; 0.224 V                              ; 0.218 V                              ; 1.32e-09 s                  ; 1.07e-09 s                  ; No                         ; Yes                        ; 3.08 V                      ; 2.06e-06 V                  ; 3.12 V             ; -0.0308 V          ; 0.224 V                             ; 0.218 V                             ; 1.32e-09 s                 ; 1.07e-09 s                 ; No                        ; Yes                       ;
; VGA_G[0]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 2.06e-06 V                   ; 3.12 V              ; -0.0308 V           ; 0.224 V                              ; 0.218 V                              ; 1.32e-09 s                  ; 1.07e-09 s                  ; No                         ; Yes                        ; 3.08 V                      ; 2.06e-06 V                  ; 3.12 V             ; -0.0308 V          ; 0.224 V                             ; 0.218 V                             ; 1.32e-09 s                 ; 1.07e-09 s                 ; No                        ; Yes                       ;
; VGA_R[3]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 2.06e-06 V                   ; 3.12 V              ; -0.0308 V           ; 0.224 V                              ; 0.218 V                              ; 1.32e-09 s                  ; 1.07e-09 s                  ; No                         ; Yes                        ; 3.08 V                      ; 2.06e-06 V                  ; 3.12 V             ; -0.0308 V          ; 0.224 V                             ; 0.218 V                             ; 1.32e-09 s                 ; 1.07e-09 s                 ; No                        ; Yes                       ;
; VGA_R[2]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 2.06e-06 V                   ; 3.12 V              ; -0.0308 V           ; 0.224 V                              ; 0.218 V                              ; 1.32e-09 s                  ; 1.07e-09 s                  ; No                         ; Yes                        ; 3.08 V                      ; 2.06e-06 V                  ; 3.12 V             ; -0.0308 V          ; 0.224 V                             ; 0.218 V                             ; 1.32e-09 s                 ; 1.07e-09 s                 ; No                        ; Yes                       ;
; VGA_R[1]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 2.06e-06 V                   ; 3.12 V              ; -0.0308 V           ; 0.224 V                              ; 0.218 V                              ; 1.32e-09 s                  ; 1.07e-09 s                  ; No                         ; Yes                        ; 3.08 V                      ; 2.06e-06 V                  ; 3.12 V             ; -0.0308 V          ; 0.224 V                             ; 0.218 V                             ; 1.32e-09 s                 ; 1.07e-09 s                 ; No                        ; Yes                       ;
; VGA_R[0]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 2.06e-06 V                   ; 3.12 V              ; -0.0308 V           ; 0.224 V                              ; 0.218 V                              ; 1.32e-09 s                  ; 1.07e-09 s                  ; No                         ; Yes                        ; 3.08 V                      ; 2.06e-06 V                  ; 3.12 V             ; -0.0308 V          ; 0.224 V                             ; 0.218 V                             ; 1.32e-09 s                 ; 1.07e-09 s                 ; No                        ; Yes                       ;
; ~ALTERA_DCLK~ ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.08 V                       ; 1.02e-06 V                   ; 3.14 V              ; -0.0402 V           ; 0.146 V                              ; 0.156 V                              ; 4.62e-10 s                  ; 4.36e-10 s                  ; Yes                        ; Yes                        ; 3.08 V                      ; 1.02e-06 V                  ; 3.14 V             ; -0.0402 V          ; 0.146 V                             ; 0.156 V                             ; 4.62e-10 s                 ; 4.36e-10 s                 ; Yes                       ; Yes                       ;
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+


+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fast Corner Signal Integrity Metrics                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                       ;
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
; Pin           ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
; VGA_CLK       ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.11e-08 V                   ; 2.73 V              ; -0.0566 V           ; 0.191 V                              ; 0.12 V                               ; 2.69e-10 s                  ; 2.76e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.11e-08 V                  ; 2.73 V             ; -0.0566 V          ; 0.191 V                             ; 0.12 V                              ; 2.69e-10 s                 ; 2.76e-10 s                 ; Yes                       ; Yes                       ;
; VGA_SYNC      ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.11e-08 V                   ; 2.64 V              ; -0.011 V            ; 0.212 V                              ; 0.198 V                              ; 2.38e-09 s                  ; 2.29e-09 s                  ; No                         ; Yes                        ; 2.62 V                      ; 4.11e-08 V                  ; 2.64 V             ; -0.011 V           ; 0.212 V                             ; 0.198 V                             ; 2.38e-09 s                 ; 2.29e-09 s                 ; No                        ; Yes                       ;
; VGA_BLANK     ; 2.5 V        ; 0 s                 ; 0 s                 ; 2.62 V                       ; 4.11e-08 V                   ; 2.73 V              ; -0.0566 V           ; 0.191 V                              ; 0.12 V                               ; 2.69e-10 s                  ; 2.76e-10 s                  ; Yes                        ; Yes                        ; 2.62 V                      ; 4.11e-08 V                  ; 2.73 V             ; -0.0566 V          ; 0.191 V                             ; 0.12 V                              ; 2.69e-10 s                 ; 2.76e-10 s                 ; Yes                       ; Yes                       ;
; VGA_VS        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.29e-07 V                   ; 3.55 V              ; -0.053 V            ; 0.341 V                              ; 0.35 V                               ; 9.04e-10 s                  ; 7.28e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.29e-07 V                  ; 3.55 V             ; -0.053 V           ; 0.341 V                             ; 0.35 V                              ; 9.04e-10 s                 ; 7.28e-10 s                 ; No                        ; No                        ;
; VGA_HS        ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.29e-07 V                   ; 3.55 V              ; -0.053 V            ; 0.341 V                              ; 0.35 V                               ; 9.04e-10 s                  ; 7.28e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.29e-07 V                  ; 3.55 V             ; -0.053 V           ; 0.341 V                             ; 0.35 V                              ; 9.04e-10 s                 ; 7.28e-10 s                 ; No                        ; No                        ;
; HEX0_D[6]     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.9e-07 V                    ; 3.59 V              ; -0.0873 V           ; 0.332 V                              ; 0.189 V                              ; 4.6e-10 s                   ; 4.2e-10 s                   ; No                         ; Yes                        ; 3.46 V                      ; 1.9e-07 V                   ; 3.59 V             ; -0.0873 V          ; 0.332 V                             ; 0.189 V                             ; 4.6e-10 s                  ; 4.2e-10 s                  ; No                        ; Yes                       ;
; HEX0_D[5]     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.9e-07 V                    ; 3.59 V              ; -0.0873 V           ; 0.332 V                              ; 0.189 V                              ; 4.6e-10 s                   ; 4.2e-10 s                   ; No                         ; Yes                        ; 3.46 V                      ; 1.9e-07 V                   ; 3.59 V             ; -0.0873 V          ; 0.332 V                             ; 0.189 V                             ; 4.6e-10 s                  ; 4.2e-10 s                  ; No                        ; Yes                       ;
; HEX0_D[4]     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.9e-07 V                    ; 3.59 V              ; -0.0873 V           ; 0.332 V                              ; 0.189 V                              ; 4.6e-10 s                   ; 4.2e-10 s                   ; No                         ; Yes                        ; 3.46 V                      ; 1.9e-07 V                   ; 3.59 V             ; -0.0873 V          ; 0.332 V                             ; 0.189 V                             ; 4.6e-10 s                  ; 4.2e-10 s                  ; No                        ; Yes                       ;
; HEX0_D[3]     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.9e-07 V                    ; 3.59 V              ; -0.0873 V           ; 0.332 V                              ; 0.189 V                              ; 4.6e-10 s                   ; 4.2e-10 s                   ; No                         ; Yes                        ; 3.46 V                      ; 1.9e-07 V                   ; 3.59 V             ; -0.0873 V          ; 0.332 V                             ; 0.189 V                             ; 4.6e-10 s                  ; 4.2e-10 s                  ; No                        ; Yes                       ;
; HEX0_D[2]     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.9e-07 V                    ; 3.59 V              ; -0.0873 V           ; 0.332 V                              ; 0.189 V                              ; 4.6e-10 s                   ; 4.2e-10 s                   ; No                         ; Yes                        ; 3.46 V                      ; 1.9e-07 V                   ; 3.59 V             ; -0.0873 V          ; 0.332 V                             ; 0.189 V                             ; 4.6e-10 s                  ; 4.2e-10 s                  ; No                        ; Yes                       ;
; HEX0_D[1]     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.9e-07 V                    ; 3.59 V              ; -0.0873 V           ; 0.332 V                              ; 0.189 V                              ; 4.6e-10 s                   ; 4.2e-10 s                   ; No                         ; Yes                        ; 3.46 V                      ; 1.9e-07 V                   ; 3.59 V             ; -0.0873 V          ; 0.332 V                             ; 0.189 V                             ; 4.6e-10 s                  ; 4.2e-10 s                  ; No                        ; Yes                       ;
; HEX0_D[0]     ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.9e-07 V                    ; 3.59 V              ; -0.0873 V           ; 0.332 V                              ; 0.189 V                              ; 4.6e-10 s                   ; 4.2e-10 s                   ; No                         ; Yes                        ; 3.46 V                      ; 1.9e-07 V                   ; 3.59 V             ; -0.0873 V          ; 0.332 V                             ; 0.189 V                             ; 4.6e-10 s                  ; 4.2e-10 s                  ; No                        ; Yes                       ;
; LEDG[9]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.29e-07 V                   ; 3.55 V              ; -0.053 V            ; 0.341 V                              ; 0.35 V                               ; 9.04e-10 s                  ; 7.28e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.29e-07 V                  ; 3.55 V             ; -0.053 V           ; 0.341 V                             ; 0.35 V                              ; 9.04e-10 s                 ; 7.28e-10 s                 ; No                        ; No                        ;
; LEDG[8]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.29e-07 V                   ; 3.55 V              ; -0.053 V            ; 0.341 V                              ; 0.35 V                               ; 9.04e-10 s                  ; 7.28e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.29e-07 V                  ; 3.55 V             ; -0.053 V           ; 0.341 V                             ; 0.35 V                              ; 9.04e-10 s                 ; 7.28e-10 s                 ; No                        ; No                        ;
; LEDG[7]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.29e-07 V                   ; 3.55 V              ; -0.053 V            ; 0.341 V                              ; 0.35 V                               ; 9.04e-10 s                  ; 7.28e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.29e-07 V                  ; 3.55 V             ; -0.053 V           ; 0.341 V                             ; 0.35 V                              ; 9.04e-10 s                 ; 7.28e-10 s                 ; No                        ; No                        ;
; LEDG[6]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.29e-07 V                   ; 3.55 V              ; -0.053 V            ; 0.341 V                              ; 0.35 V                               ; 9.04e-10 s                  ; 7.28e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.29e-07 V                  ; 3.55 V             ; -0.053 V           ; 0.341 V                             ; 0.35 V                              ; 9.04e-10 s                 ; 7.28e-10 s                 ; No                        ; No                        ;
; LEDG[5]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.29e-07 V                   ; 3.55 V              ; -0.053 V            ; 0.341 V                              ; 0.35 V                               ; 9.04e-10 s                  ; 7.28e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.29e-07 V                  ; 3.55 V             ; -0.053 V           ; 0.341 V                             ; 0.35 V                              ; 9.04e-10 s                 ; 7.28e-10 s                 ; No                        ; No                        ;
; LEDG[4]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.29e-07 V                   ; 3.55 V              ; -0.053 V            ; 0.341 V                              ; 0.35 V                               ; 9.04e-10 s                  ; 7.28e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.29e-07 V                  ; 3.55 V             ; -0.053 V           ; 0.341 V                             ; 0.35 V                              ; 9.04e-10 s                 ; 7.28e-10 s                 ; No                        ; No                        ;
; LEDG[3]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.29e-07 V                   ; 3.55 V              ; -0.053 V            ; 0.341 V                              ; 0.35 V                               ; 9.04e-10 s                  ; 7.28e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.29e-07 V                  ; 3.55 V             ; -0.053 V           ; 0.341 V                             ; 0.35 V                              ; 9.04e-10 s                 ; 7.28e-10 s                 ; No                        ; No                        ;
; LEDG[2]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.29e-07 V                   ; 3.48 V              ; -0.0136 V           ; 0.352 V                              ; 0.346 V                              ; 4.12e-09 s                  ; 3.34e-09 s                  ; No                         ; Yes                        ; 3.46 V                      ; 1.29e-07 V                  ; 3.48 V             ; -0.0136 V          ; 0.352 V                             ; 0.346 V                             ; 4.12e-09 s                 ; 3.34e-09 s                 ; No                        ; Yes                       ;
; LEDG[1]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.29e-07 V                   ; 3.55 V              ; -0.053 V            ; 0.341 V                              ; 0.35 V                               ; 9.04e-10 s                  ; 7.28e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.29e-07 V                  ; 3.55 V             ; -0.053 V           ; 0.341 V                             ; 0.35 V                              ; 9.04e-10 s                 ; 7.28e-10 s                 ; No                        ; No                        ;
; LEDG[0]       ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.29e-07 V                   ; 3.55 V              ; -0.053 V            ; 0.341 V                              ; 0.35 V                               ; 9.04e-10 s                  ; 7.28e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.29e-07 V                  ; 3.55 V             ; -0.053 V           ; 0.341 V                             ; 0.35 V                              ; 9.04e-10 s                 ; 7.28e-10 s                 ; No                        ; No                        ;
; VGA_B[3]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.29e-07 V                   ; 3.55 V              ; -0.053 V            ; 0.341 V                              ; 0.35 V                               ; 9.04e-10 s                  ; 7.28e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.29e-07 V                  ; 3.55 V             ; -0.053 V           ; 0.341 V                             ; 0.35 V                              ; 9.04e-10 s                 ; 7.28e-10 s                 ; No                        ; No                        ;
; VGA_B[2]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.29e-07 V                   ; 3.55 V              ; -0.053 V            ; 0.341 V                              ; 0.35 V                               ; 9.04e-10 s                  ; 7.28e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.29e-07 V                  ; 3.55 V             ; -0.053 V           ; 0.341 V                             ; 0.35 V                              ; 9.04e-10 s                 ; 7.28e-10 s                 ; No                        ; No                        ;
; VGA_B[1]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.29e-07 V                   ; 3.55 V              ; -0.053 V            ; 0.341 V                              ; 0.35 V                               ; 9.04e-10 s                  ; 7.28e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.29e-07 V                  ; 3.55 V             ; -0.053 V           ; 0.341 V                             ; 0.35 V                              ; 9.04e-10 s                 ; 7.28e-10 s                 ; No                        ; No                        ;
; VGA_B[0]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.29e-07 V                   ; 3.55 V              ; -0.053 V            ; 0.341 V                              ; 0.35 V                               ; 9.04e-10 s                  ; 7.28e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.29e-07 V                  ; 3.55 V             ; -0.053 V           ; 0.341 V                             ; 0.35 V                              ; 9.04e-10 s                 ; 7.28e-10 s                 ; No                        ; No                        ;
; VGA_G[3]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.29e-07 V                   ; 3.55 V              ; -0.053 V            ; 0.341 V                              ; 0.35 V                               ; 9.04e-10 s                  ; 7.28e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.29e-07 V                  ; 3.55 V             ; -0.053 V           ; 0.341 V                             ; 0.35 V                              ; 9.04e-10 s                 ; 7.28e-10 s                 ; No                        ; No                        ;
; VGA_G[2]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.29e-07 V                   ; 3.55 V              ; -0.053 V            ; 0.341 V                              ; 0.35 V                               ; 9.04e-10 s                  ; 7.28e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.29e-07 V                  ; 3.55 V             ; -0.053 V           ; 0.341 V                             ; 0.35 V                              ; 9.04e-10 s                 ; 7.28e-10 s                 ; No                        ; No                        ;
; VGA_G[1]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.29e-07 V                   ; 3.55 V              ; -0.053 V            ; 0.341 V                              ; 0.35 V                               ; 9.04e-10 s                  ; 7.28e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.29e-07 V                  ; 3.55 V             ; -0.053 V           ; 0.341 V                             ; 0.35 V                              ; 9.04e-10 s                 ; 7.28e-10 s                 ; No                        ; No                        ;
; VGA_G[0]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.29e-07 V                   ; 3.55 V              ; -0.053 V            ; 0.341 V                              ; 0.35 V                               ; 9.04e-10 s                  ; 7.28e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.29e-07 V                  ; 3.55 V             ; -0.053 V           ; 0.341 V                             ; 0.35 V                              ; 9.04e-10 s                 ; 7.28e-10 s                 ; No                        ; No                        ;
; VGA_R[3]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.29e-07 V                   ; 3.55 V              ; -0.053 V            ; 0.341 V                              ; 0.35 V                               ; 9.04e-10 s                  ; 7.28e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.29e-07 V                  ; 3.55 V             ; -0.053 V           ; 0.341 V                             ; 0.35 V                              ; 9.04e-10 s                 ; 7.28e-10 s                 ; No                        ; No                        ;
; VGA_R[2]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.29e-07 V                   ; 3.55 V              ; -0.053 V            ; 0.341 V                              ; 0.35 V                               ; 9.04e-10 s                  ; 7.28e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.29e-07 V                  ; 3.55 V             ; -0.053 V           ; 0.341 V                             ; 0.35 V                              ; 9.04e-10 s                 ; 7.28e-10 s                 ; No                        ; No                        ;
; VGA_R[1]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.29e-07 V                   ; 3.55 V              ; -0.053 V            ; 0.341 V                              ; 0.35 V                               ; 9.04e-10 s                  ; 7.28e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.29e-07 V                  ; 3.55 V             ; -0.053 V           ; 0.341 V                             ; 0.35 V                              ; 9.04e-10 s                 ; 7.28e-10 s                 ; No                        ; No                        ;
; VGA_R[0]      ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 1.29e-07 V                   ; 3.55 V              ; -0.053 V            ; 0.341 V                              ; 0.35 V                               ; 9.04e-10 s                  ; 7.28e-10 s                  ; No                         ; No                         ; 3.46 V                      ; 1.29e-07 V                  ; 3.55 V             ; -0.053 V           ; 0.341 V                             ; 0.35 V                              ; 9.04e-10 s                 ; 7.28e-10 s                 ; No                        ; No                        ;
; ~ALTERA_DCLK~ ; 3.3-V LVTTL  ; 0 s                 ; 0 s                 ; 3.46 V                       ; 6.52e-08 V                   ; 3.58 V              ; -0.064 V            ; 0.234 V                              ; 0.085 V                              ; 2.93e-10 s                  ; 3.07e-10 s                  ; Yes                        ; Yes                        ; 3.46 V                      ; 6.52e-08 V                  ; 3.58 V             ; -0.064 V           ; 0.234 V                             ; 0.085 V                             ; 2.93e-10 s                 ; 3.07e-10 s                 ; Yes                       ; Yes                       ;
+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+


+--------------------------------------------------------------------+
; Setup Transfers                                                    ;
+------------+-----------+----------+----------+----------+----------+
; From Clock ; To Clock  ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
+------------+-----------+----------+----------+----------+----------+
; BUTTON[2]  ; BUTTON[2] ; 171      ; 0        ; 0        ; 0        ;
+------------+-----------+----------+----------+----------+----------+
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.


+--------------------------------------------------------------------+
; Hold Transfers                                                     ;
+------------+-----------+----------+----------+----------+----------+
; From Clock ; To Clock  ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
+------------+-----------+----------+----------+----------+----------+
; BUTTON[2]  ; BUTTON[2] ; 171      ; 0        ; 0        ; 0        ;
+------------+-----------+----------+----------+----------+----------+
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.


---------------
; Report TCCS ;
---------------
No dedicated SERDES Transmitter circuitry present in device or used in design


---------------
; Report RSKM ;
---------------
No dedicated SERDES Receiver circuitry present in device or used in design


+------------------------------------------------+
; Unconstrained Paths                            ;
+---------------------------------+-------+------+
; Property                        ; Setup ; Hold ;
+---------------------------------+-------+------+
; Illegal Clocks                  ; 0     ; 0    ;
; Unconstrained Clocks            ; 0     ; 0    ;
; Unconstrained Input Ports       ; 10    ; 10   ;
; Unconstrained Input Port Paths  ; 148   ; 148  ;
; Unconstrained Output Ports      ; 8     ; 8    ;
; Unconstrained Output Port Paths ; 8     ; 8    ;
+---------------------------------+-------+------+


+------------------------------------+
; TimeQuest Timing Analyzer Messages ;
+------------------------------------+
Info: *******************************************************************
Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer
    Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version
    Info: Processing started: Tue Mar 01 16:05:16 2016
Info: Command: quartus_sta ise_proj -c ise_proj
Info: qsta_default_script.tcl version: #1
Warning (20013): Ignored assignments for entity "DE0_TOP" -- entity does not exist in design
    Warning (20014): Assignment for entity set_global_assignment -name LL_ROOT_REGION ON -entity DE0_TOP -section_id "Root Region" was ignored
    Warning (20014): Assignment for entity set_global_assignment -name LL_MEMBER_STATE LOCKED -entity DE0_TOP -section_id "Root Region" was ignored
    Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity DE0_TOP -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity DE0_TOP -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity DE0_TOP -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity DE0_TOP -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity DE0_TOP -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 14622752 -entity DE0_TOP -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity DE0_TOP -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity DE0_TOP -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity DE0_TOP -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity DE0_TOP -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity DE0_TOP -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity DE0_TOP -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity DE0_TOP -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity DE0_TOP -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity DE0_TOP -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity DE0_TOP -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity DE0_TOP -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity DE0_TOP -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity DE0_TOP -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity DE0_TOP -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity DE0_TOP -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity DE0_TOP -section_id Top was ignored
Warning (20013): Ignored assignments for entity "DE0_VGA" -- entity does not exist in design
    Warning (20014): Assignment for entity set_global_assignment -name LL_ROOT_REGION ON -entity DE0_VGA -section_id "Root Region" was ignored
    Warning (20014): Assignment for entity set_global_assignment -name LL_MEMBER_STATE LOCKED -entity DE0_VGA -section_id "Root Region" was ignored
    Warning (20014): Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity DE0_VGA -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity DE0_VGA -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity DE0_VGA -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity DE0_VGA -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity DE0_VGA -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_COLOR 14622752 -entity DE0_VGA -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity DE0_VGA -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity DE0_VGA -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity DE0_VGA -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity DE0_VGA -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity DE0_VGA -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity DE0_VGA -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity DE0_VGA -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity DE0_VGA -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity DE0_VGA -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity DE0_VGA -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity DE0_VGA -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity DE0_VGA -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity DE0_VGA -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity DE0_VGA -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity DE0_VGA -section_id Top was ignored
    Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity DE0_VGA -section_id Top was ignored
Info (11104): Parallel Compilation has detected 8 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 4 of the 4 physical processors detected instead.
Info (21077): Core supply voltage is 1.2V
Info (21077): Low junction temperature is 0 degrees C
Info (21077): High junction temperature is 85 degrees C
Critical Warning (332012): Synopsys Design Constraints File file not found: 'ise_proj.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0"
Info (332105): Deriving Clocks
    Info (332105): create_clock -period 1.000 -name BUTTON[2] BUTTON[2]
Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty"
Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers.
Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON
Info: Analyzing Slow 1200mV 85C Model
Critical Warning (332148): Timing requirements not met
    Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer.
Info (332146): Worst-case setup slack is -2.141
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):    -2.141       -24.967 BUTTON[2] 
Info (332146): Worst-case hold slack is 0.382
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):     0.382         0.000 BUTTON[2] 
Info (332140): No Recovery paths to report
Info (332140): No Removal paths to report
Info (332146): Worst-case minimum pulse width slack is -3.000
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):    -3.000       -23.000 BUTTON[2] 
Info: Analyzing Slow 1200mV 0C Model
Info (334003): Started post-fitting delay annotation
Info (334004): Delay annotation completed successfully
Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers.
Critical Warning (332148): Timing requirements not met
    Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer.
Info (332146): Worst-case setup slack is -1.805
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):    -1.805       -20.320 BUTTON[2] 
Info (332146): Worst-case hold slack is 0.333
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):     0.333         0.000 BUTTON[2] 
Info (332140): No Recovery paths to report
Info (332140): No Removal paths to report
Info (332146): Worst-case minimum pulse width slack is -3.000
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):    -3.000       -23.000 BUTTON[2] 
Info: Analyzing Fast 1200mV 0C Model
Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers.
Critical Warning (332148): Timing requirements not met
    Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer.
Info (332146): Worst-case setup slack is -0.738
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):    -0.738        -5.942 BUTTON[2] 
Info (332146): Worst-case hold slack is 0.201
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):     0.201         0.000 BUTTON[2] 
Info (332140): No Recovery paths to report
Info (332140): No Removal paths to report
Info (332146): Worst-case minimum pulse width slack is -3.000
    Info (332119):     Slack End Point TNS Clock 
    Info (332119): ========= ============= =====================
    Info (332119):    -3.000       -30.776 BUTTON[2] 
Info (332102): Design is not fully constrained for setup requirements
Info (332102): Design is not fully constrained for hold requirements
Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 54 warnings
    Info: Peak virtual memory: 480 megabytes
    Info: Processing ended: Tue Mar 01 16:05:18 2016
    Info: Elapsed time: 00:00:02
    Info: Total CPU time (on all processors): 00:00:01